Farshad Firouzi

Orcid: 0000-0002-8359-4304

According to our database1, Farshad Firouzi authored at least 51 papers between 2010 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Low-Overhead Clustered Federated Learning for Personalized Stress Monitoring.
IEEE Internet Things J., February, 2024

2023
Fusion of IoT, AI, Edge-Fog-Cloud, and Blockchain: Challenges, Solutions, and a Case Study in Healthcare and Medicine.
IEEE Internet Things J., March, 2023

Guest Editorial Special Issue on Empowering the Future Generation Systems: Opportunities by the Convergence of Cloud, Edge, AI, and IoT.
IEEE Internet Things J., March, 2023

Blood Glucose Prediction for Type-1 Diabetics using Deep Reinforcement Learning.
Proceedings of the IEEE International Conference on Digital Health, 2023

Welcome Message from the Chairs.
Proceedings of the IEEE International Conference on Omni-layer Intelligent Systems, 2023

2022
The convergence and interplay of edge, fog, and cloud in the AI-driven Internet of Things (IoT).
Inf. Syst., 2022

A Resilient and Hierarchical IoT-Based Solution for Stress Monitoring in Everyday Settings.
IEEE Internet Things J., 2022

Guest Editorial Special Issue on AI-Driven IoT Data Monetization: A Transition From Value Islands to Value Ecosystems.
IEEE Internet Things J., 2022

AI-Driven Data Monetization: The Other Face of Data in IoT-Based Smart and Connected Health.
IEEE Internet Things J., 2022

2021
The convergence of IoT and distributed ledger technologies (DLT): Opportunities, challenges, and solutions.
J. Netw. Comput. Appl., 2021

Harnessing the Power of Smart and Connected Health to Tackle COVID-19: IoT, AI, Robotics, and Blockchain for a Better World.
IEEE Internet Things J., 2021

Task Offloading for Edge-Fog-Cloud Interplay in the Healthcare Internet of Things (IoT).
Proceedings of the 2021 IEEE International Conference on Omni-Layer Intelligent Systems, 2021

2020
Towards IoT-enabled Multimodal Mental Stress Monitoring.
Proceedings of the 2020 International Conference on Omni-layer Intelligent Systems, 2020

Towards Safer Roads: A Deep Learning-Based Multimodal Fatigue Monitoring System.
Proceedings of the 2020 International Conference on Omni-layer Intelligent Systems, 2020

Human Activity Recognition: From Sensors to Applications.
Proceedings of the 2020 International Conference on Omni-layer Intelligent Systems, 2020

2018
Keynote Paper: From EDA to IoT eHealth: Promises, Challenges, and Solutions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Internet-of-Things and big data for smarter healthcare: From device to architecture, applications and analytics.
Future Gener. Comput. Syst., 2018

Towards fog-driven IoT eHealth: Promises and challenges of IoT in medicine and healthcare.
Future Gener. Comput. Syst., 2018

2017
Guest Editorial: Alternative Computing and Machine Learning for Internet of Things.
IEEE Trans. Very Large Scale Integr. Syst., 2017

2016
On-Chip Droop-Induced Circuit Delay Prediction Based on Support-Vector Machines.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

2015
Resilient Design for Process and Runtime Variations.
PhD thesis, 2015

Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path Selection.
ACM Trans. Design Autom. Electr. Syst., 2015

Extending standard cell library for aging mitigation.
IET Comput. Digit. Tech., 2015

Deadspace-aware Power/Ground TSV planning in 3D floorplanning.
Proceedings of the 2015 International Conference on IC Design & Technology, 2015

Re-using BIST for circuit aging monitoring.
Proceedings of the 20th IEEE European Test Symposium, 2015

On-line prediction of NBTI-induced aging rates.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Stress-aware P/G TSV planning in 3D-ICs.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015

2014
On-chip voltage-droop prediction using support-vector machines.
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014

Chip Health Monitoring Using Machine Learning.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

P/G TSV planning for IR-drop reduction in 3D-ICs.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Aging-aware standard cell library design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Adaptive Mitigation of Parameter Variations.
Proceedings of the 23rd IEEE Asian Test Symposium, 2014

2013
Power-Aware Minimum NBTI Vector Selection Using a Linear Programming Approach.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Negative Bias Temperature Instability-Aware Instruction Scheduling: A Cross-Layer Approach.
J. Low Power Electron., 2013

Chip-level modeling and analysis of electrical masking of soft errors.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013

Representative critical-path selection for aging-induced delay monitoring.
Proceedings of the 2013 IEEE International Test Conference, 2013

Aging-aware timing analysis considering combined effects of NBTI and PBTI.
Proceedings of the International Symposium on Quality Electronic Design, 2013

A layout-aware x-filling approach for dynamic power supply noise reduction in at-speed scan testing.
Proceedings of the 18th IEEE European Test Symposium, 2013

Instruction-set extension under process variation and aging effects.
Proceedings of the Design, Automation and Test in Europe, 2013

Incorporating the impacts of workload-dependent runtime variations into timing analysis.
Proceedings of the Design, Automation and Test in Europe, 2013

Statistical analysis of BTI in the presence of process-induced voltage and temperature variations.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Adaptive fault-tolerant DVFS with dynamic online AVF prediction.
Microelectron. Reliab., 2012

Input and transistor reordering for NBTI and HCI reduction in complex CMOS gates.
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012

NBTI mitigation by optimized NOP assignment and insertion.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

2011
An accurate model for soft error rate estimation considering dynamic voltage and frequency scaling effects.
Microelectron. Reliab., 2011

Modeling and estimation of power supply noise using linear programming.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

A linear programming approach for minimum NBTI vector selection.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

Dynamic Soft Error Hardening via Joint Body Biasing and Dynamic Voltage Scaling.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011

2010
Reliability-Aware Dynamic Voltage and Frequency Scaling.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010

Instruction reliability analysis for embedded processors.
Proceedings of the 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2010


  Loading...