Dajiang Zhou

Orcid: 0000-0003-2500-8466

Affiliations:
  • Waseda University, Kitakyushu, Japan (PhD 2010)


According to our database1, Dajiang Zhou authored at least 106 papers between 2007 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Moving Target Detection Method for Passive Radar Using LEO Communication Satellite Constellation.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2023

A High Resolution SAR Imaging Method for Moving Target Based on Range Doppler and Particle Swarm Optimization Algorithm.
Proceedings of the IEEE International Geoscience and Remote Sensing Symposium, 2023


2021
Perceptual Image Compression Using Relativistic Average Least Squares GANs.
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2021

2018
A Variable-Clock-Cycle-Path VLSI Design of Binary Arithmetic Decoder for H.265/HEVC.
IEEE Trans. Circuits Syst. Video Technol., 2018

Approximate-DCT-Derived Measurement Matrices with Row-Operation-Based Measurement Compression and its VLSI Architecture for Compressed Sensing.
IEICE Trans. Electron., 2018

Lossy Compression for Embedded Computer Vision Systems.
IEEE Access, 2018

Sparseness Ratio Allocation and Neuron Re-pruning for Neural Networks Compression.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Embedded Frame Compression for Energy-Efficient Computer Vision Systems.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Quad-multiplier packing based on customized floating point for convolutional neural networks on FPGA.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018

2017
A Dual-Clock VLSI Design of H.265 Sample Adaptive Offset Estimation for 8k Ultra-HD TV Encoding.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Fast Algorithm and VLSI Architecture of Rate Distortion Optimization in H.265/HEVC.
IEEE Trans. Multim., 2017

VLSI Implementation of HEVC Motion Compensation With Distance Biased Direct Cache Mapping for 8K UHDTV Applications.
IEEE Trans. Circuits Syst. Video Technol., 2017

An 8K H.265/HEVC Video Decoder Chip With a New System Pipeline Design.
IEEE J. Solid State Circuits, 2017

Framework and VLSI Architecture of Measurement-Domain Intra Prediction for Compressively Sensed Visual Contents.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

A 7-Die 3D Stacked 3840×2160@120 fps Motion Estimation Processor.
IEICE Trans. Electron., 2017

Distortion Control and Optimization for Lossy Embedded Compression in Video Codec System.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

Accelerating HEVC Inter Prediction with Improved Merge Mode Handling.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017

100x Evolution of Video Codec Chips.
Proceedings of the 2017 ACM on International Symposium on Physical Design, 2017

Approximate-DCT-derived measurement matrices for compressed sensing.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Measurement-domain intra prediction framework for compressively sensed images.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Chain-NN: An energy-efficient 1D chain architecture for accelerating deep convolutional neural networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017

2016
A Low-Power VLSI Architecture for HEVC De-Quantization and Inverse Transform.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2016

HyDMA: low-latency inter-core DMA based on a hybrid packet-circuit switching network-on-chip.
IEICE Electron. Express, 2016

14.7 A 4Gpixel/s 8/10b H.265/HEVC video decoder chip for 8K Ultra HD applications.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Frame-level quality and memory traffic allocation for lossy embedded compression in video codec systems.
Proceedings of the 2016 IEEE International Conference on Multimedia & Expo Workshops, 2016

CNN-MERP: An FPGA-based memory-efficient reconfigurable processor for forward and backward propagation of convolutional neural networks.
Proceedings of the 34th IEEE International Conference on Computer Design, 2016

2015
A Frame-Parallel 2 Gpixel/s Video Decoder Chip for UHDTV and 3-DTV/FTV Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2015

High-Throughput Power-Efficient VLSI Architecture of Fractional Motion Estimation for Ultra-HD HEVC Video Encoding.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Ultra-High-Throughput VLSI Architecture of H.265/HEVC CABAC Encoder for UHDTV Applications.
IEEE Trans. Circuits Syst. Video Technol., 2015

High Performance VLSI Architecture of H.265/HEVC Intra Prediction for 8K UHDTV Video Decoder.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Low-Power Motion Estimation Processor with 3D Stacked Memory.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Unified Parameter Decoder Architecture for H.265/HEVC Motion Vector and Boundary Strength Decoding.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015

Merge mode based fast inter prediction for HEVC.
Proceedings of the 2015 Visual Communications and Image Processing, 2015

An independent bandwidth reduction device for HEVC VLSI video system.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

A fixed-complexity HEVC inter mode filtering algorithm based on distribution of IME-FME cost ratio.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

Hardware-oriented rate-distortion optimization algorithm for HEVC intra-frame encoder.
Proceedings of the 2015 IEEE International Conference on Multimedia & Expo Workshops, 2015

A full layer parallel QC-LDPC decoder for WiMAX and Wi-Fi.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015

2014
High-Performance H.264/AVC Intra-Prediction Architecture for Ultra High Definition Video Applications.
IEEE Trans. Very Large Scale Integr. Syst., 2014

A New Reference Frame Recompression Algorithm and Its VLSI Architecture for UHDTV Video Codec.
IEEE Trans. Multim., 2014

Alternating asymmetric search range assignment for bidirectional motion estimation in H.265/HEVC and H.264/AVC.
J. Vis. Commun. Image Represent., 2014

A 1.59 Gpixel/s Motion Estimation Processor With -211 to +211 Search Range for UHDTV Video Encoder.
IEEE J. Solid State Circuits, 2014

Fast SAO Estimation Algorithm and Its Implementation for 8K×4K @ 120 FPS HEVC Encoding.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

A Low-Cost VLSI Architecture of Multiple-Size IDCT for H.265/HEVC.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

Fast Prediction Unit Selection and Mode Selection for HEVC Intra Prediction.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014

A low power 720p motion estimation processor with 3D stacked memory.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014

An area-efficient 4/8/16/32-point inverse DCT architecture for UHDTV HEVC decoder.
Proceedings of the 2014 IEEE Visual Communications and Image Processing Conference, 2014

Unified VLSI Architecture of Motion Vector and Boundary Strength Parameter Decoder for 8K UHDTV HEVC Decoder.
Proceedings of the Advances in Multimedia Information Processing - PCM 2014, 2014

Low-Complexity Rate-Distortion Optimization Algorithms for HEVC Intra Prediction.
Proceedings of the MultiMedia Modeling - 20th Anniversary International Conference, 2014

Motion compensation architecture for 8K UHDTV HEVC decoder.
Proceedings of the IEEE International Conference on Multimedia and Expo, 2014

Fast SAO estimation algorithm and its VLSI architecture.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

VLSI architecture of HEVC intra prediction for 8K UHDTV applications.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

Reducing power consumption of HEVC codec with lossless reference frame recompression.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

A 610 Mbin/s CABAC decoder for H.265/HEVC level 6.1 applications.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

OpenCL based high-quality HEVC motion estimation on GPU.
Proceedings of the 2014 IEEE International Conference on Image Processing, 2014

Live demonstration: FPGA based 3840×2160 video decoding and displaying system.
Proceedings of the 2014 IEEE Asia Pacific Conference on Circuits and Systems, 2014

2013
A High Performance HEVC De-Blocking Filter and SAO Architecture for UHDTV Decoder.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

A 5.83pJ/bit/iteration High-Parallel Performance-Aware LDPC Decoder IP Core Design for WiMAX in 65nm CMOS.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

A Dual-Mode Deblocking Filter Design for HEVC and H.264/AVC.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013

High-parallel performance-aware LDPC decoder IP core design for WiMAX.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

An FPGA-based 4K UHDTV H.264/AVC video decoder.
Proceedings of the 2013 IEEE International Conference on Multimedia and Expo Workshops, 2013

A combined SAO and de-blocking filter architecture for HEVC video decoder.
Proceedings of the IEEE International Conference on Image Processing, 2013

A high-performance CABAC encoder architecture for HEVC and H.264/AVC.
Proceedings of the IEEE International Conference on Image Processing, 2013

Lossless embedded compression using multi-mode DPCM & averaging prediction for HEVC-like video codec.
Proceedings of the 21st European Signal Processing Conference, 2013

A 24.5-53.6pJ/pixel 4320p 60fps H.264/AVC intra-frame video encoder chip in 65nm CMOS.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

A 6.72-Gb/s, 8pJ/bit/iteration WPAN LDPC decoder in 65nm CMOS.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

Transform-based fast mode and depth decision algorithm for HEVC intra prediction.
Proceedings of the IEEE 10th International Conference on ASIC, 2013

2012
An Advanced Hierarchical Motion Estimation Scheme With Lossless Frame Recompression and Early-Level Termination for Beyond High-Definition Video Coding.
IEEE Trans. Multim., 2012

DVB-T2 LDPC Decoder with Perfect Conflict Resolution.
IPSJ Trans. Syst. LSI Des. Methodol., 2012

A 115 mW 1 Gbps Bit-Serial Layered LDPC Decoder for WiMAX.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012

A 4320p 60fps H.264/AVC intra-frame encoder chip with 1.41Gbins/s CABAC.
Proceedings of the Symposium on VLSI Circuits, 2012

De-blocking Filter Design for HEVC and H.264/AVC.
Proceedings of the Advances in Multimedia Information Processing - PCM 2012, 2012

A 2Gpixel/s H.264/AVC HP/MVC video decoder chip for Super Hi-Vision and 3DTV/FTV applications.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A Low-Complexity HEVC Intra Prediction Algorithm Based on Level and Mode Filtering.
Proceedings of the 2012 IEEE International Conference on Multimedia and Expo, 2012

Interlaced asymmetric search range assignment for bidirectional motion estimation.
Proceedings of the 19th IEEE International Conference on Image Processing, 2012

An optimized MC interpolation architecture for HEVC.
Proceedings of the 2012 IEEE International Conference on Acoustics, 2012

A 1991 Mpixels/s intra prediction architecture for Super Hi-Vision H.264/AVC encoder.
Proceedings of the 20th European Signal Processing Conference, 2012

2011
A 530 Mpixels/s 4096x2160@60fps H.264/AVC High Profile Video Decoder Chip.
IEEE J. Solid State Circuits, 2011

Cache Based Motion Compensation Architecture for Quad-HD H.264/AVC Video Decoder.
IEICE Trans. Electron., 2011

A 530 Mpixels/s Intra Prediction Architecture for Ultra High Definition H.264/AVC Encoder.
IEICE Trans. Electron., 2011

A 98 GMACs/W 32-Core Vector Processor in 65 nm CMOS.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

A 6.72-Gb/s 8 pJ/bit/iteration IEEE 802.15.3c LDPC Decoder Chip.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Ultra low power QC-LDPC decoder with high parallelism.
Proceedings of the IEEE 24th International SoC Conference, SOCC 2011, Taipei, Taiwan, 2011

A high parallel macro block level layered LDPC decoding architecture based on dedicated matrix reordering.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011

A 98 GMACs/W 32-core vector processor in 65nm CMOS.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

A 16-65 cycles/MB H.264/AVC motion compensation architecture for Quad-HD applications.
Proceedings of the 19th European Signal Processing Conference, 2011

A 1 Gbin/s CABAC encoder for H.264/AVC.
Proceedings of the 19th European Signal Processing Conference, 2011

A 115mW 1Gbps QC-LDPC decoder ASIC for WiMAX in 65nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2011

2010
High Profile Intra Prediction Architecture for UHD H.264 Decoder.
IPSJ Trans. Syst. LSI Des. Methodol., 2010

A High Parallelism LDPC Decoder with an Early Stopping Criterion for WiMax and WiFi Application.
IPSJ Trans. Syst. LSI Des. Methodol., 2010

A Bandwidth Optimized, 64 Cycles/MB Joint Parameter Decoder Architecture for Ultra High Definition H.264/AVC Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010

A High Performance and Low Bandwidth Multi-Standard Motion Compensation Design for HD Video Decoder.
IEICE Trans. Electron., 2010

Intra prediction architecture for H.264/AVC QFHD encoder.
Proceedings of the Picture Coding Symposium, 2010

A Bandwidth Reduction Scheme and Its VLSI Implementation for H.264/AVC Motion Vector Decoding.
Proceedings of the Advances in Multimedia Information Processing - PCM 2010, 2010

An adaptive bandwidth reduction scheme for video coding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

An early stopping criterion for decoding LDPC codes in WiMAX and WiFi standards.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A lossless frame recompression scheme for reducing DRAM power in video encoding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

An advanced hierarchical motion estimation scheme with lossless frame recompression for ultra high definition video coding.
Proceedings of the 2010 IEEE International Conference on Multimedia and Expo, 2010

A constant rate bandwidth reduction architecture with adaptive compression mode decision for video decoding.
Proceedings of the 18th European Signal Processing Conference, 2010

2009
A 48 Cycles/MB H.264/AVC Deblocking Filter Architecture for Ultra High Definition Applications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

An Efficient Motion Vector Coding Scheme Based on Prioritized Reference Decision.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

Prioritized Reference Decision for Efficient Motion Vector Coding.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Block-pipelining Cache for Motion Compensation in High Definition H.264/AVC Video Decoder.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A 136 cycles/MB, luma-chroma parallelized H.264/AVC deblocking filter for QFHD applications.
Proceedings of the 2009 IEEE International Conference on Multimedia and Expo, 2009

2008
An SDRAM controller optimized for high definition video coding application.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

2007
A Hardware-Efficient Dual-Standard VLSI Architecture for MC Interpolation in AVS and H.264.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007


  Loading...