Philip Brisk
Orcid: 0000-0003-0083-9781Affiliations:
- University of California, Riverside, Department of Computer Sience and Engineering, CA, USA
According to our database1,
Philip Brisk
authored at least 143 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
2023
ACM Trans. Reconfigurable Technol. Syst., March, 2023
Proceedings of the 31st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2023
Proceedings of the 21st ACM/IEEE International Symposium on Code Generation and Optimization, 2023
2022
2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Reducing Microfluidic Very Large-Scale Integration (mVLSI) Chip Area by Seam Carving.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Proceedings of the ICCPS '21: ACM/IEEE 12th International Conference on Cyber-Physical Systems, 2021
FA-LAMP: FPGA-Accelerated Learned Approximate Matrix Profile for Time Series Similarity Prediction.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
Proceedings of the 2021 IEEE International Conference on Big Data (Big Data), 2021
2020
J. Chem. Inf. Model., 2020
Proceedings of the 33rd International Conference on VLSI Design and 19th International Conference on Embedded Systems, 2020
Tuning floating-point precision using dynamic program information and temporal locality.
Proceedings of the International Conference for High Performance Computing, 2020
Proceedings of the 11th ACM/IEEE International Conference on Cyber-Physical Systems, 2020
Proceedings of the CGO '20: 18th ACM/IEEE International Symposium on Code Generation and Optimization, 2020
2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Proceedings of the 2019 International Conference on ReConFigurable Computing and FPGAs, 2019
Proceedings of the 26th Annual Network and Distributed System Security Symposium, 2019
Matrix Profile XVIII: Time Series Mining in the Face of Fast Moving Streams using a Learned Approximate Matrix Profile.
Proceedings of the 2019 IEEE International Conference on Data Mining, 2019
Specification, Integration, and Benchmarking of Continuous Flow Microfluidic Devices: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019
Matrix Profile XIV: Scaling Time Series Motif Discovery with GPUs to Break a Quintillion Pairwise Comparisons a Day and Beyond.
Proceedings of the ACM Symposium on Cloud Computing, SoCC 2019, 2019
2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Microelectron. J., 2018
Exploiting a novel algorithm and GPUs to break the ten quadrillion pairwise comparisons barrier for time series motifs and joins.
Knowl. Inf. Syst., 2018
ACM J. Emerg. Technol. Comput. Syst., 2018
Accelerating Simulation of Particle Trajectories in Microfluidic Devices by Constructing a Cloud Database.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
Predictive Modeling for CPU, GPU, and FPGA Performance and Power Consumption: A Survey.
Proceedings of the 2018 IEEE Computer Society Annual Symposium on VLSI, 2018
Proceedings of the 2018 IEEE International Symposium on Workload Characterization, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 2018 International Symposium on Code Generation and Optimization, 2018
Exploration of approximate multipliers design space using carry propagation free compressors.
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2017
ACM Trans. Embed. Comput. Syst., 2017
ACM Trans. Embed. Comput. Syst., 2017
Diagonal Component Expansion for Flow-Layer Placement of Flow-Based Microfluidic Biochips.
ACM Trans. Embed. Comput. Syst., 2017
Performance Improvements and Congestion Reduction for Routing-Based Synthesis for Digital Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
Reducing Microfluidic Very Large Scale Integration (mVLSI) Chip Area by Seam Carving.
Proceedings of the on Great Lakes Symposium on VLSI 2017, 2017
CAL: Exploring cost, accuracy, and latency in approximate and speculative adder design.
Proceedings of the IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2017
The case for semi-automated design of microfluidic very large scale integration (mVLSI) chips.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Arbitrary Precision and Complexity Tradeoffs for Gate-Level Information Flow Tracking.
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 51st Asilomar Conference on Signals, Systems, and Computers, 2017
2016
Matrix Profile II: Exploiting a Novel Algorithm and GPUs to Break the One Hundred Million Barrier for Time Series Motifs and Joins.
Proceedings of the IEEE 16th International Conference on Data Mining, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
2015
Graph-Based Approaches to Placement of Processing Element Networks on FPGAs for Physical Model Simulation.
ACM Trans. Reconfigurable Technol. Syst., 2015
Fast and Memory-Efficient Routing Algorithms for Field Programmable Gate Arrays With Sparse Intracluster Routing Crossbars.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Integr., 2015
IEEE Des. Test, 2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
2014
Way Stealing: A Unified Data Cache and Architecturally Visible Storage for Instruction Set Extensions.
IEEE Trans. Very Large Scale Integr. Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Virtual Ways: Low-Cost Coherence for Instruction Set Extensions with Architecturally Visible Storage.
ACM Trans. Archit. Code Optim., 2014
ACM J. Emerg. Technol. Comput. Syst., 2014
Simulated annealing-based placement for microfluidic large scale integration (mLSI) chips.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014
Multi-terminal PCB escape routing for digital microfluidic biochips using negotiated congestion.
Proceedings of the 22nd International Conference on Very Large Scale Integration, 2014
Performance and cost analysis of NoC-inspired virtual topologies for digital microfluidic biochips.
Proceedings of the 2014 International Symposium on Integrated Circuits (ISIC), 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Exploring speed and energy tradeoffs in droplet transport for digital microfluidic biochips.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
Accelerating the dynamic time warping distance measure using logarithmetic arithmetic.
Proceedings of the 48th Asilomar Conference on Signals, Systems and Computers, 2014
2013
ACM Trans. Embed. Comput. Syst., 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Automatic synthesis of microfluidic large scale integration chips from a domain-specific language.
Proceedings of the 2013 IEEE Biomedical Circuits and Systems Conference (BioCAS), Rotterdam, The Netherlands, October 31, 2013
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
2012
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
Proceedings of the 20th IEEE/IFIP International Conference on VLSI and System-on-Chip, 2012
Proceedings of the 2012 International Conference on Embedded Computer Systems: Architectures, 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
2011
ACM Trans. Reconfigurable Technol. Syst., 2011
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011
Proceedings of the 48th Design Automation Conference, 2011
Proceedings of the 14th International Conference on Compilers, 2011
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011
2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
Fast, Nearly Optimal ISE Identification With I/O Serialization Through Maximal Clique Enumeration.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
An Optimal Linear-Time Algorithm for Interprocedural Register Allocation in High Level Synthesis Using SSA Form.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
Virtual Ways: Efficient Coherence for Architecturally Visible Storage in Automatic Instruction Set Extensions.
Proceedings of the High Performance Embedded Architectures and Compilers, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
A high-level synthesis flow for custom instruction set extensions for application-specific processors.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
ACM Trans. Reconfigurable Technol. Syst., 2009
ACM Trans. Reconfigurable Technol. Syst., 2009
ACM Trans. Embed. Comput. Syst., 2009
Des. Autom. Embed. Syst., 2009
Architectural support for the orchestration of fine-grained multiprocessing for portable streaming applications.
Proceedings of the IEEE Workshop on Signal Processing Systems, 2009
Introducing control-flow inclusion to support pipelining in custom instruction set extensions.
Proceedings of the IEEE 7th Symposium on Application Specific Processors, 2009
Proceedings of the IEEE 7th Symposium on Application Specific Processors, 2009
Iterative layering: Optimizing arithmetic circuits by structuring the information flow.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Memory organization and data layout for instruction set extensions with architecturally visible storage.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
Proceedings of the 2009 International Conference on Field-Programmable Technology, 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009
FPGA Implementation of a Single-Precision Floating-Point Multiply-Accumulator with Single-Cycle Accumulation.
Proceedings of the FCCM 2009, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the Cryptographic Hardware and Embedded Systems, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
Proceedings of the 19th IEEE Symposium on Computer Arithmetic, 2009
2008
Data-Flow Transformations to Maximize the Use of Carry-Save Representation in Arithmetic Circuits.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Proceedings of the IEEE Workshop on Signal Processing Systems, 2008
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008
Architectural improvements for field programmable counter arrays: enabling efficient synthesis of fast compressor trees on FPGAs.
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, 2008
Proceedings of the 2008 International Conference on Compilers, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
Interference graphs for procedures in static single information form are interval graphs.
Proceedings of the 10th International Workshop on Software and Compilers for Embedded Systems, 2007
Optimal polynomial-time interprocedural register allocation for high-level synthesis and ASIP design.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the 44th Design Automation Conference, 2007
Proceedings of the 2007 International Conference on Compilers, 2007
Proceedings of the 2007 International Conference on Compilers, 2007
Proceedings of the 2nd International ICST Conference on Body Area Networks, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), 2005
A dictionary construction technique for code compression systems with echo instructions.
Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, 2005
2004
Instruction Selection for Compilers that Target Architectures with Echo Instructions.
Proceedings of the Software and Compilers for Embedded Systems, 8th International Workshop, 2004
Proceedings of the 41th Design Automation Conference, 2004
2003
Proceedings of the 40th Design Automation Conference, 2003
2002
Proceedings of the International Conference on Compilers, 2002