Vijay Raghunathan

Orcid: 0000-0003-4713-5386

Affiliations:
  • Purdue University, West Lafayette, USA


According to our database1, Vijay Raghunathan authored at least 104 papers between 2000 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Toward Energy-Efficient Collaborative Inference Using Multisystem Approximations.
IEEE Internet Things J., May, 2024

PArtNNer: Platform-Agnostic Adaptive Edge-Cloud DNN Partitioning for Minimizing End-to-End Latency.
ACM Trans. Embed. Comput. Syst., January, 2024

HARVEST: Towards Efficient Sparse DNN Accelerators using Programmable Thresholds.
Proceedings of the 37th International Conference on VLSI Design and 23rd International Conference on Embedded Systems, 2024

2023
Energy-Efficient Approximate Edge Inference Systems.
ACM Trans. Embed. Comput. Syst., July, 2023

2022
Exploring the Design of Energy-Efficient Intermittently Powered Systems Using Reconfigurable Ferroelectric Transistors.
IEEE Trans. Very Large Scale Integr. Syst., 2022

2021
HW/SW Framework for Improving the Safety of Implantable and Wearable Medical Devices.
CoRR, 2021

Special Session: Approximate TinyML Systems: Full System Approximations for Extreme Energy-Efficiency in Intelligent Edge Devices.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021

2020
Approximate Memory Compression.
IEEE Trans. Very Large Scale Integr. Syst., 2020

Embedding Approximate Nonlinear Model Predictive Control at Ultrahigh Speed and Extremely Low Power.
IEEE Trans. Control. Syst. Technol., 2020

Approximate inference systems (AxIS): end-to-end approximations for energy-efficient inference at the edge.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

IPS-CiM: Enhancing Energy Efficiency of Intermittently-Powered Systems with Compute-in-Memory.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020

Communication-efficient View-Pooling for Distributed Multi-View Neural Networks.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020

2019
Valley-Coupled-Spintronic Non-Volatile Memories with Compute-In-Memory Support.
CoRR, 2019

Non-volatile Logic and Memory based on Reconfigurable Ferroelectric Transistors.
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2019

WSe2 based Valley-Coupled-Spintronic Devices for Low Power Non-Volatile Memories.
Proceedings of the Device Research Conference, 2019

Approximate Systems: Synergistically Approximating Sensing, Computing, Memory, and Communication Subsystems for Energy Efficiency.
Proceedings of the Approximate Circuits, Methodologies and CAD., 2019

2018
Approximating Beyond the Processor: Exploring Full-System Energy-Accuracy Tradeoffs in a Smart Camera System.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Designing Energy-Efficient Intermittently Powered Systems Using Spin-Hall-Effect-Based Nonvolatile SRAM.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Memory-Based Combination PUFs for Device Authentication in Embedded Systems.
IEEE Trans. Multi Scale Comput. Syst., 2018

D-PUF: An Intrinsically Reconfigurable DRAM PUF for Device Authentication and Random Number Generation.
ACM Trans. Embed. Comput. Syst., 2018

A Quality-Configurable Approximate Serial Bus for Energy-Efficient Sensory Data Transfer.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2018

Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for Intermittently-Powered Systems.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018

SYNCVIBE: Fast and Secure Device Pairing through Physical Vibration on Commodity Smartphones.
Proceedings of the 36th IEEE International Conference on Computer Design, 2018

2017
Energy-Efficient Reduce-and-Rank Using Input-Adaptive Approximations.
IEEE Trans. Very Large Scale Integr. Syst., 2017

Design and Management of Battery-Supercapacitor Hybrid Electrical Energy Storage Systems for Regulation Services.
IEEE Trans. Multi Scale Comput. Syst., 2017

qLUT: Input-Aware Quantized Table Lookup for Energy-Efficient Approximate Accelerators.
ACM Trans. Embed. Comput. Syst., 2017

Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in Intermittently-Powered IoT Devices.
ACM Trans. Embed. Comput. Syst., 2017

Quality Configurable Approximate DRAM.
IEEE Trans. Computers, 2017

Synergistic Approximation of Computation and Memory Subsystems for Error-Resilient Applications.
IEEE Embed. Syst. Lett., 2017

Approximate memory compression for energy-efficiency.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

AXSERBUS: A quality-configurable approximate serial bus for energy-efficient sensing.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Spike timing dependent plasticity based enhanced self-learning for efficient pattern recognition in spiking neural networks.
Proceedings of the 2017 International Joint Conference on Neural Networks, 2017

Towards Full-System Energy-Accuracy Tradeoffs: A Case Study of An Approximate Smart Camera System.
Proceedings of the 54th Annual Design Automation Conference, 2017

Ultrafast embedded explicit model predictive control for nonlinear systems.
Proceedings of the 2017 American Control Conference, 2017

2016
Input-Based Dynamic Reconfiguration of Approximate Arithmetic Units for Video Encoding.
IEEE Trans. Very Large Scale Integr. Syst., 2016

CO-GPS: Energy Efficient GPS Sensing with Cloud Offloading.
IEEE Trans. Mob. Comput., 2016

Sleep-Mode Voltage Scaling: Enabling SRAM Data Retention at Ultra-Low Power in Embedded Microcontrollers.
ACM Trans. Embed. Comput. Syst., 2016

Recap of the 2016 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED 2016).
IEEE Des. Test, 2016

Channel Assignment Techniques for Multi-Radio Wireless Mesh Networks: A Survey.
IEEE Commun. Surv. Tutorials, 2016

Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in IoT Edge Devices.
Proceedings of the 29th International Conference on VLSI Design and 15th International Conference on Embedded Systems, 2016

TeleProbe: Zero-power Contactless Probing for Implantable Medical Devices.
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016

Making the internet-of-things a reality: from smart models, sensing and actuation to energy-efficient architectures.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016

D-PUF: an intrinsically reconfigurable DRAM PUF for device authentication in embedded systems.
Proceedings of the 2016 International Conference on Compilers, 2016

Energy-efficient system design for IoT devices.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
SiAc: simultaneous activation of heterogeneous radios in high data rate multi-hop wireless networks.
Wirel. Networks, 2015

SymCo: Symbiotic Coexistence of Single-hop and Multi-hop Transmissions in Next-generation Wireless Mesh Networks.
Wirel. Networks, 2015

iTCP: an intelligent TCP with neural network based end-to-end congestion control for ad-hoc multi-hop wireless mesh networks.
Wirel. Networks, 2015

QuickRecall: A HW/SW Approach for Computing across Power Cycles in Transiently Powered Computers.
ACM J. Emerg. Technol. Comput. Syst., 2015

VIDalizer: An energy efficient video streamer.
Proceedings of the 2015 IEEE Wireless Communications and Networking Conference, 2015

Message from the program chairs.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

Quality configurable reduce-and-rank for energy efficient approximate computing.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

Vibration-based secure side channel for medical devices.
Proceedings of the 52nd Annual Design Automation Conference, 2015

Quality-aware data allocation in approximate DRAM?
Proceedings of the 2015 International Conference on Compilers, 2015

2014
Backpacking: Energy-Efficient Deployment of Heterogeneous Radios in Multi-Radio High-Data-Rate Wireless Sensor Networks.
IEEE Access, 2014

A Power Efficient Video Encoder Using Reconfigurable Approximate Arithmetic Units.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

QUICKRECALL: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Powering the internet of things.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014

When they are not listening: Harvesting power from idle sensors in embedded systems.
Proceedings of the International Green Computing Conference, 2014

Design and management of hybrid electrical energy storage systems for regulation services.
Proceedings of the International Green Computing Conference, 2014

Hypnos: An ultra-low power sleep mode with SRAM data retention for embedded microcontrollers!
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014

UP-link: An ultra-low power implantable wireless system for long-term ambulatory urodynamics.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2014

2012
QRTT: Stateful Round Trip Time Estimation for Wireless Embedded Systems Using Q-Learning.
IEEE Embed. Syst. Lett., 2012

Embedded Tutorial ET4: Advanced Techniques for Programming Networked Embedded Systems.
Proceedings of the 25th International Conference on VLSI Design, 2012

Low-Overhead Maximum Power Point Tracking for Micro-Scale Solar Energy Harvesting Systems.
Proceedings of the 25th International Conference on VLSI Design, 2012

A Cross-Layer Analytical Model to Estimate the Capacity of a WiMAX Network.
Proceedings of the 20th IEEE International Symposium on Modeling, 2012

Multi-armed Bandit Congestion Control in Multi-hop Infrastructure Wireless Mesh Networks.
Proceedings of the 20th IEEE International Symposium on Modeling, 2012

Modeling, design and cross-layer optimization of polysilicon solar cell based micro-scale energy harvesting systems.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

SPI-SNOOPER: a hardware-software approach for transparent network monitoring in wireless sensor networks.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012

2011
Efficient Design of Micro-Scale Energy Harvesting Systems.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2011

End-to-end congestion control in wireless mesh networks using a neural network.
Proceedings of the 2011 IEEE Wireless Communications and Networking Conference, 2011

Aveksha: a hardware-software approach for non-intrusive tracing and profiling of wireless embedded systems.
Proceedings of the 9th International Conference on Embedded Networked Sensor Systems, 2011

Assessing the viability of cross-layer modeling for asynchronous, multi-hop, ad-hoc wireless mesh networks.
Proceedings of the 9th ACM International Workshop on Mobility Management & Wireless Access, 2011

μSETL: A set based programming abstraction for wireless sensor networks.
Proceedings of the 10th International Conference on Information Processing in Sensor Networks, 2011

Backpacking: Deployment of Heterogeneous Radios in High Data Rate Sensor Networks.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

Stage number optimization for switched capacitor power converters in micro-scale energy harvesting.
Proceedings of the Design, Automation and Test in Europe, 2011

2010
Analysis and design of ultra low power thermoelectric energy harvesting systems.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Maximum power point considerations in micro-scale solar energy harvesting systems.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

AEGIS: a rule based framework for traffic gatekeeping in wireless sensor networks.
Proceedings of the 9th International Conference on Information Processing in Sensor Networks, 2010

AEGIS: A Lightweight Firewall for Wireless Sensor Networks.
Proceedings of the Distributed Computing in Sensor Systems, 2010

Efficient power conversion for ultra low voltage micro scale energy transducers.
Proceedings of the Design, Automation and Test in Europe, 2010

Micro-scale energy harvesting: a system design perspective.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Green at the micro-scale: towards self-powered embedded systems.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

2008
HERMES: A Software Architecture for Visibility and Control in Wireless Sensor Network Deployments.
Proceedings of the 7th International Conference on Information Processing in Sensor Networks, 2008

2006
Emerging techniques for long lived wireless sensor networks.
IEEE Commun. Mag., 2006

Design and power management of energy harvesting embedded systems.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Adaptive duty cycling for energy harvesting systems.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Harvesting aware power management for sensor networks.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Energy-aware wireless systems with adaptive power-fidelity tradeoffs.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Exploiting Radio Hierarchies for Power-Efficient Wireless Device Discovery and Connection Setup.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Heliomote: enabling long-lived sensor networks through solar energy harvesting.
Proceedings of the 3rd International Conference on Embedded Networked Sensor Systems, 2005

Design considerations for solar energy harvesting wireless embedded systems.
Proceedings of the Fourth International Symposium on Information Processing in Sensor Networks, 2005

2004
Energy efficient wireless packet scheduling and fair queuing.
ACM Trans. Embed. Comput. Syst., 2004

Experience with a low power wireless mobile computing platform.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Joint end-to-end scheduling, power control and rate control in multi-hop wireless networks.
Proceedings of the Global Telecommunications Conference, 2004. GLOBECOM '04, Dallas, Texas, USA, 29 November, 2004

2003
Power management for energy-aware communication systems.
ACM Trans. Embed. Comput. Syst., 2003

Energy efficiency and fairness tradeoffs in multi-resource, multi-tasking embedded systems.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

A survey of techniques for energy efficient on-chip communication.
Proceedings of the 40th Design Automation Conference, 2003

2002
Energy-aware wireless microsensor networks.
IEEE Signal Process. Mag., 2002

High-Level Synthesis with SIMD Units.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

<i>E<sup>2</sup></i>WFQ: an energy efficient fair scheduling policy for wireless systems.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

2001
Adaptive Power-Fidelity in Energy-Aware Wireless Embedded System.
Proceedings of the 22nd IEEE Real-Time Systems Symposium (RTSS 2001), 2001

Transient Power Management Through High Level Synthesis.
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001

2000
Integrating variable-latency components into high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2000

High-Level Synthesis with Variable-Latency Components.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000


  Loading...