Tsuyoshi Iwagaki

According to our database1, Tsuyoshi Iwagaki authored at least 30 papers between 2003 and 2020.

Collaborative distances:
  • Dijkstra number2 of five.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2020
Transient Fault Tolerant State Assignment for Stochastic Computing Based on Linear Finite State Machines.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2020

2019
Compact and Accurate Digital Filters Based on Stochastic Computing.
IEEE Trans. Emerg. Top. Comput., 2019

An Empirical Approach to RTL Scan Path Design Focusing on Structural Interpretation in Logic Synthesis.
Proceedings of the IEEE International Test Conference in Asia, 2019

State Encoding with Stochastic Numbers for Transient Fault Tolerant Linear Finite State Machines.
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019

2017
State assignment for fault tolerant stochastic computing with linear finite state machines.
Proceedings of the International Test Conference in Asia, 2017

2015
Logic simplification by minterm complement for error tolerant application.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Designing area-efficient controllers for multi-cycle transient fault tolerant systems.
Proceedings of the 20th IEEE European Test Symposium, 2015

A practical approach for logic simplification based on fault acceptability for error tolerant application.
Proceedings of the 20th IEEE European Test Symposium, 2015

2014
Compact and accurate stochastic circuits with shared random number sources.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

Scheduling algorithm in datapath synthesis for long duration transient fault tolerance.
Proceedings of the 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2014

2013
A Transient Fault Tolerant Test Pattern Generator for On-line Built-in Self-Test.
Proceedings of the 22nd Asian Test Symposium, 2013

2012
Modeling economics of LSI design and manufacturing for test design selection.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Utilizing register transfer level false paths for circuit optimization with a logic synthesis tool.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012

2011
Flexible Test Scheduling for an Asynchronous On-Chip Interconnect through Special Data Transfer.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Backward-Data-Direction Clocking and Relevant Optimal Register Assignment in Datapath Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2011

Indirect detection of clock skew induced hold-time violations on functional paths using scan shift operations.
Proceedings of the 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits & Systems, 2011

2010
A Pseudo-Boolean Technique for Generating Compact Transition Tests with All-Output-Propagation Properties.
Proceedings of the Fifth IEEE International Symposium on Electronic Design, 2010

2009
Optimal Register Assignment with Minimum-Path Delay Compensation for Variation-Aware Datapaths.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009

On the derivation of a minimum test set in high quality transition testing.
Proceedings of the 10th Latin American Test Workshop, 2009

Safe clocking for the setup and hold timing constraints in datapath synthesis.
Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, 2009

2008
Novel Register Sharing in Datapath for Structural Robustness against Delay Variation.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008

Safe clocking register assignment in datapath synthesis.
Proceedings of the 26th International Conference on Computer Design, 2008

2007
Efficient path delay test generation based on stuck-at test generation using checker circuitry.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

2006
A Low Power Deterministic Test Using Scan Chain Disable Technique.
IEICE Trans. Inf. Syst., 2006

Broadside Transition Test Generation for Partial Scan Circuits through Stuck-at Test Generation.
Proceedings of the VLSI-SoC: Research Trends in VLSI and Systems on Chip, 2006

A New Test Generation Model for Broadside Transition Testing of Partial Scan Circuits.
Proceedings of the IFIP VLSI-SoC 2006, 2006

2005
Acceleration of transition test generation for acyclic sequential circuits utilizing constrained combinational stuck-at test generation.
Proceedings of the 10th European Test Symposium, 2005

Efficient Constraint Extraction for Template-Based Processor Self-Test Generation.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005

2004
A design methodology to realize delay testable controllers using state transition information.
Proceedings of the 9th European Test Symposium, 2004

2003
Reducibility of Sequential Test Generation to Combinational Test Generation for Several Delay Fault Models.
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003


  Loading...