Tingyuan Liang

Orcid: 0000-0002-0390-2320

According to our database1, Tingyuan Liang authored at least 16 papers between 2017 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
GraFlex: Flexible Graph Processing on FPGAs through Customized Scalable Interconnection Network.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024

2023
HL-Pow: Learning-Assisted Pre-RTL Power Modeling and Optimization for FPGA HLS.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023

MiniTNtk: An Exact Synthesis-based Method for Minimizing Transistor Network.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023

DiffLo: A Graph-based Method for Functional Discrepancy Localization in High-level Synthesis.
Proceedings of the International Conference on Field Programmable Technology, 2023

FADO: Floorplan-Aware Directive Optimization for High-Level Synthesis Designs on Multi-Die FPGAs.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023

PROPHET: Predictive On-Chip Power Meter in Hardware Accelerator for DNN.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

2022
AMF-Placer 2.0: Open Source Timing-driven Analytical Mixed-size Placer for Large-scale Heterogeneous FPGA.
CoRR, 2022

AutoCellLibX: Automated Standard Cell Library Extension Based on Pattern Mining.
CoRR, 2022

2021
AMF-Placer: High-Performance Analytical Mixed-size Placer for FPGA.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

2020
FP-Stereo: Hardware-Efficient Stereo Vision for Embedded Applications.
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020

2019
Hi-ClockFlow: Multi-Clock Dataflow Automation and Throughput Optimization in High-Level Synthesis.
Proceedings of the International Conference on Computer-Aided Design, 2019

A Hybrid Data-Consistent Framework for Link-Aware AccessManagement in Emerging CPU-FPGA Platforms.
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019

Machine Learning Based Routing Congestion Prediction in FPGA High-Level Synthesis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

LAMA: Link-Aware Hybrid Management for Memory Accesses in Emerging CPU-FPGA Platforms.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019

2018
Hi-DMM: High-Performance Dynamic Memory Management in High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

2017
PAAS: A system level simulator for heterogeneous computing architectures.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017


  Loading...