Shiyan Hu

Orcid: 0000-0003-2512-0634

According to our database1, Shiyan Hu authored at least 180 papers between 2003 and 2024.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Deep Learning-Based Pedestrian Detection Using RGB Images and Sparse LiDAR Point Clouds.
IEEE Trans. Ind. Informatics, May, 2024

Learning-Based Cloud Server Configuration for Energy Minimization Under Reliability Constraint.
IEEE Trans. Reliab., March, 2024

Optimized Operation Framework of Distributed Thermal Storage Aggregators in the Electricity Spot Market.
IEEE Trans. Ind. Informatics, February, 2024

An Intention-Aware Markov Chain Based Method for Top-K Recommendation.
IEEE Trans Autom. Sci. Eng., January, 2024

SSA-SVR-Based Prediction Model of Charging Load for Electric Vehicles.
J. Circuits Syst. Comput., January, 2024

2023
An Efficient Architecture for Imputing Distributed Data Sets of IoT Networks.
IEEE Internet Things J., September, 2023

Adaptive Multimode Process Monitoring Based on Mode-Matching and Similarity-Preserving Dictionary Learning.
IEEE Trans. Cybern., June, 2023

LIAS: A Lightweight Incentive Authentication Scheme for Forensic Services in IoV.
IEEE Trans Autom. Sci. Eng., April, 2023

Multi-Scale Traffic Aware Cybersecurity Situational Awareness Online Model for Intelligent Power Substation Communication Network.
IEEE Internet Things J., January, 2023

Trustworthiness of Process Monitoring in IIoT Based on Self-Weighted Dictionary Learning.
IEEE Trans. Ind. Informatics, 2023

Timing Analysis of CAN FD for Security-Aware Automotive Cyber-Physical Systems.
IEEE Trans. Dependable Secur. Comput., 2023

Heterogeneous Differential-Private Federated Learning: Trading Privacy for Utility Truthfully.
IEEE Trans. Dependable Secur. Comput., 2023

Guest Editorial Machine Learning for Resilient Industrial Cyber-Physical Systems.
IEEE Trans Autom. Sci. Eng., 2023

A Systematic Procurement Supply Chain Optimization Technique Based on Industrial Internet of Things and Application.
IEEE Internet Things J., 2023

2022
DRHEFT: Deadline-Constrained Reliability-Aware HEFT Algorithm for Real-Time Heterogeneous MPSoC Systems.
IEEE Trans. Reliab., 2022

Unified Stationary and Nonstationary Data Representation for Process Monitoring in IIoT.
IEEE Trans. Instrum. Meas., 2022

Digital Twinning Based Adaptive Development Environment for Automotive Cyber-Physical Systems.
IEEE Trans. Ind. Informatics, 2022

A Kaiser Window-Based S-Transform for Time-Frequency Analysis of Power Quality Signals.
IEEE Trans. Ind. Informatics, 2022

IPANM: Incentive Public Auditing Scheme for Non-Manager Groups in Clouds.
IEEE Trans. Dependable Secur. Comput., 2022

Throughput-Conscious Energy Allocation and Reliability-Aware Task Assignment for Renewable Powered In-Situ Server Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Counteracting Adversarial Attacks in Autonomous Driving.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022

Outlier Detection for Process Monitoring in Industrial Cyber-Physical Systems.
IEEE Trans Autom. Sci. Eng., 2022

Guest Editorial Special Issue on Security, Privacy, and Trustworthiness in Intelligent Cyber-Physical Systems and Internet of Things.
IEEE Internet Things J., 2022

Attention mechanism and adaptive convolution actuated fusion network for next POI recommendation.
Int. J. Intell. Syst., 2022

Small-signal stability and robustness analysis for microgrids under time-constrained DoS attacks and a mitigation adaptive secondary control method.
Sci. China Inf. Sci., 2022

QoE and Reliability-Aware Task Scheduling for Multi-user Mobile-Edge Computing.
Proceedings of the Wireless Algorithms, Systems, and Applications, 2022

Enhancing Vehicle State Recognition in Logistics Industrial Parks via Dynamic Hidden Markov Model.
Proceedings of the 27th IEEE International Conference on Emerging Technologies and Factory Automation, 2022

2021
A Collaborative and Sustainable Edge-Cloud Architecture for Object Tracking with Convolutional Siamese Networks.
IEEE Trans. Sustain. Comput., 2021

Reliability and Confidentiality Co-Verification for Parallel Applications in Distributed Systems.
IEEE Trans. Parallel Distributed Syst., 2021

Risk Assessment and Development Cost Optimization in Software Defined Vehicles.
IEEE Trans. Intell. Transp. Syst., 2021

Guest Editorial: Cloud-Edge Computing for Cyber-Physical Systems and Internet of Things.
IEEE Trans. Ind. Informatics, 2021

Exploring Placement of Heterogeneous Edge Servers for Response Time Minimization in Mobile Edge-Cloud Computing.
IEEE Trans. Ind. Informatics, 2021

A Survey on Edge and Edge-Cloud Computing Assisted Cyber-Physical Systems.
IEEE Trans. Ind. Informatics, 2021

Efficient Federated Learning for Cloud-Based AIoT Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Leveraging Spatial Correlation for Sensor Drift Calibration in Smart Building.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021

Software and hardware co-design for sustainable cyber-physical systems.
Softw. Pract. Exp., 2021

Two-Layer Game Theoretic Microgrid Capacity Optimization Considering Uncertainty of Renewable Energy.
IEEE Syst. J., 2021

A Resilience-Oriented Centralised-to-Decentralised Framework for Networked Microgrids Management.
CoRR, 2021

Delay Aware Dynamic Risk Assessment for Logistics Delivery.
Proceedings of the IEEE International Symposium on Systems Engineering, 2021

FedLight: Federated Reinforcement Learning for Autonomous Multi-Intersection Traffic Signal Control.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

2020
Queueing Theoretic Approach for Performance-Aware Modeling of Sustainable SDN Control Planes.
IEEE Trans. Sustain. Comput., 2020

Security-Critical Energy-Aware Task Scheduling for Heterogeneous Real-Time MPSoCs in IoT.
IEEE Trans. Serv. Comput., 2020

Customer Perceived Value- and Risk-Aware Multiserver Configuration for Profit Maximization.
IEEE Trans. Parallel Distributed Syst., 2020

Online Generative Adversary Network Based Measurement Recovery in False Data Injection Attacks: A Cyber-Physical Approach.
IEEE Trans. Ind. Informatics, 2020

Detecting Dynamic Attacks in Smart Grids Using Reservoir Computing: A Spiking Delayed Feedback Reservoir Based Approach.
IEEE Trans. Emerg. Top. Comput. Intell., 2020

Stochastic Workload Scheduling for Uncoordinated Datacenter Clouds with Multiple QoS Constraints.
IEEE Trans. Cloud Comput., 2020

Security-Aware Obfuscated Priority Assignment for CAN FD Messages in Real-Time Parallel Automotive Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Augmented Cross-Entropy-Based Joint Temperature Optimization of Real-Time 3-D MPSoC Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Exploring Renewable-Adaptive Computation Offloading for Hierarchical QoS Optimization in Fog Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020

Big Data for Cyber-Physical Systems.
IEEE Trans. Big Data, 2020

Uncertainty-Aware Flight Scheduling for Airport Throughput and Flight Delay Optimization.
IEEE Trans. Aerosp. Electron. Syst., 2020

Introduction to the special issue on dependable cyber physical systems.
J. Syst. Archit., 2020

Stochastic scheduling for variation-aware virtual machine placement in a cloud computing CPS.
Future Gener. Comput. Syst., 2020

Trusted Anonymous Authentication For Vehicular Cyber-Physical Systems.
Proceedings of the 2020 International Conferences on Internet of Things (iThings) and IEEE Green Computing and Communications (GreenCom) and IEEE Cyber, 2020

2019
Smart Home Cyberattack Detection Framework for Sponsor Incentive Attacks.
IEEE Trans. Smart Grid, 2019

Stochastic Buffering for Bundled SWCNT Interconnects Considering Unidimensional Fabrication Variation.
IEEE Trans. Emerg. Top. Comput., 2019

Dependable Visual Light-Based Indoor Localization with Automatic Anomaly Detection for Location-Based Service of Mobile Cyber-Physical Systems.
ACM Trans. Cyber Phys. Syst., 2019

Energy-Efficient ECG Signal Compression for User Data Input in Cyber-Physical Systems by Leveraging Empirical Mode Decomposition.
ACM Trans. Cyber Phys. Syst., 2019

Resource Management for Improving Soft-Error and Lifetime Reliability of Real-Time MPSoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Game Theoretic Feedback Control for Reliability Enhancement of EtherCAT-Based Networked Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Affinity-Driven Modeling and Scheduling for Makespan Optimization in Heterogeneous Multiprocessor Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

QoS-Adaptive Approximate Real-Time Computation for Mobility-Aware IoT Lifetime Optimization.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019

Improving Availability of Multicore Real-Time Systems Suffering Both Permanent and Transient Faults.
IEEE Trans. Computers, 2019

A Discrete Curvature Estimation Based Low-Distortion Adaptive Savitzky-Golay Filter for ECG Denoising.
Sensors, 2019

Co-placement optimization in sensor-reusable cyber-physical digital microfluidic biochips.
Microelectron. J., 2019

Energy-aware virtual machine allocation for cloud with resource reservation.
J. Syst. Softw., 2019

A survey of optimization techniques for thermal-aware 3D processors.
J. Syst. Archit., 2019

Minimizing cost and makespan for workflow scheduling in cloud using fuzzy dominance sort based HEFT.
Future Gener. Comput. Syst., 2019

Economical and balanced production in smart Petroleum Cyber-Physical System.
Future Gener. Comput. Syst., 2019

A Survey of Deployment Solutions and Optimization Strategies for Hybrid SDN Networks.
IEEE Commun. Surv. Tutorials, 2019

2018
Energy Theft Detection in Multi-Tenant Data Centers with Digital Protective Relay Deployment.
IEEE Trans. Sustain. Comput., 2018

IEEE Transactions on Sustainable Computing: Guest Editorial on Special Issue on Sustainable Cyber-Physical Systems.
IEEE Trans. Sustain. Comput., 2018

Developing User Perceived Value Based Pricing Models for Cloud Markets.
IEEE Trans. Parallel Distributed Syst., 2018

Variation-Aware Global Placement for Improving Timing-Yield of Carbon-Nanotube Field Effect Transistor Circuit.
ACM Trans. Design Autom. Electr. Syst., 2018

Combating Coordinated Pricing Cyberattack and Energy Theft in Smart Home Cyber-Physical Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Lorenz Chaotic System-Based Carbon Nanotube Physical Unclonable Functions.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018

Design Automation for Cyber-Physical Systems [Scanning the Issue].
Proc. IEEE, 2018

Thermal-aware correlated two-level scheduling of real-time tasks with reduced processor energy on heterogeneous MPSoCs.
J. Syst. Archit., 2018

TriboMotion: A Self-Powered Triboelectric Motion Sensor in Wearable Internet of Things for Human Activity Recognition and Energy Harvesting.
IEEE Internet Things J., 2018

ECG Signal Compression for Low-power Sensor Nodes Using Sparse Frequency Spectrum Features.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018

Energy-efficient ECG compression in wearable body sensor network by leveraging empirical mode decomposition.
Proceedings of the 2018 IEEE EMBS International Conference on Biomedical & Health Informatics, 2018

2017
Renewable Energy Pricing Driven Scheduling in Distributed Smart Community Systems.
IEEE Trans. Parallel Distributed Syst., 2017

Introduction to Cyber-Physical System Security: A Cross-Layer Perspective.
IEEE Trans. Multi Scale Comput. Syst., 2017

Guest Editorial: Special Issue on Smart Homes, Buildings and Infrastructures.
ACM Trans. Cyber Phys. Syst., 2017

Design Automation of Cyber-Physical Systems: Challenges, Advances, and Opportunities.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Design Automation for Interwell Connectivity Estimation in Petroleum Cyber-Physical Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017

Game-Theoretic Market-Driven Smart Home Scheduling Considering Energy Balancing.
IEEE Syst. J., 2017

Special Issue on Scalable Cyber-Physical Systems.
J. Parallel Distributed Comput., 2017

Guest editorial - Special issue on hardware assisted techniques for IoT and bigdata applications.
Integr., 2017

A comparative study on neural network-based prediction of smart community energy consumption.
Proceedings of the 2017 IEEE SmartWorld, 2017

Offshore oil spill monitoring and detection: Improving risk management for offshore petroleum cyber-physical systems: (Invited paper).
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Preventive Maintenance for Advanced Metering Infrastructure Against Malware Propagation.
IEEE Trans. Smart Grid, 2016

EBL Overlapping Aware Stencil Planning for MCC System.
ACM Trans. Design Autom. Electr. Syst., 2016

Guest Editorial: Hardware/Software Cross-Layer Technologies for Trustworthy and Secure Computing.
IEEE Trans. Multi Scale Comput. Syst., 2016

CEVP: Cross Entropy based Virtual Machine Placement for Energy Optimization in Clouds.
J. Supercomput., 2016

The Hierarchical Smart Home Cyberattack Detection Considering Power Overloading and Frequency Disturbance.
IEEE Trans. Ind. Informatics, 2016

Leveraging Strategic Detection Techniques for Smart Home Pricing Cyberattacks.
IEEE Trans. Dependable Secur. Comput., 2016

Guest Editorial Leveraging Design Automation Techniques for Cyber-Physical System Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

A Computing Perspective on Smart City [Guest Editorial].
IEEE Trans. Computers, 2016

Buffering Carbon Nanotube Interconnects Considering Inductive Effects.
J. Circuits Syst. Comput., 2016

Inaugural Editorial.
IET Cyper-Phys. Syst.: Theory & Appl., 2016

Leveraging carbon nanotube technologies in developing Physically Unclonable Function for cyber-physical system authentication.
Proceedings of the IEEE Conference on Computer Communications Workshops, 2016

Analysis of production data manipulation attacks in petroleum cyber-physical systems.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016

Placement optimization of cyber-physical digital microfluidic biochips.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2016

2015
A Dynamic Programming Algorithm for Leveraging Probabilistic Detection of Energy Theft in Smart Home.
IEEE Trans. Emerg. Top. Comput., 2015

Economical and Balanced Energy Usage in the Smart Home Infrastructure: A Tutorial and New Results.
IEEE Trans. Emerg. Top. Comput., 2015

Cloud Computing for VLSI Floorplanning Considering Peak Temperature Reduction.
IEEE Trans. Emerg. Top. Comput., 2015

Cyberthreat Analysis and Detection for Energy Theft in Social Networking of Smart Homes.
IEEE Trans. Comput. Soc. Syst., 2015

Sharp Corner/Edge Recognition in Domestic Environments Using RGB-D Camera Systems.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Smart Home Scheduling for Cost Reduction and Its Implementation on FPGA.
J. Circuits Syst. Comput., 2015

Distributed Generation Placement for Power Distribution Networks.
J. Circuits Syst. Comput., 2015

Timing-driven placement for carbon nanotube circuits.
Proceedings of the 28th IEEE International System-on-Chip Conference, 2015

Modeling distributed denial of service attack in advanced metering infrastructure.
Proceedings of the 2015 IEEE Power & Energy Society Innovative Smart Grid Technologies Conference, 2015

Cyber-physical integration in programmable microfluidic biochips.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015

Security Analysis of Proactive Participation of Smart Buildings in Smart Grid.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Cyber-physical systems: A security perspective.
Proceedings of the 20th IEEE European Test Symposium, 2015

Impact assessment of net metering on smart home cyberattack detection.
Proceedings of the 52nd Annual Design Automation Conference, 2015

2014
Guest Editorial Special Section on Building Automation, Smart Homes, and Communities.
IEEE Trans. Ind. Informatics, 2014

Variation-Aware Layer Assignment With Hierarchical Stochastic Optimization on a Multicore Platform.
IEEE Trans. Emerg. Top. Comput., 2014

Dynamic programming based game theoretic algorithm for economical multi-user smart home scheduling.
Proceedings of the IEEE 57th International Midwest Symposium on Circuits and Systems, 2014

Buffering Single-Walled Carbon Nanotubes Bundle Interconnects for Timing Optimization.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

Vulnerability assessment and defense technology for smart home cybersecurity considering pricing cyberattacks.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014

2013
Strategic FRTU Deployment Considering Cybersecurity in Secondary Distribution Network.
IEEE Trans. Smart Grid, 2013

Uncertainty-Aware Household Appliance Scheduling Considering Dynamic Electricity Pricing in Smart Home.
IEEE Trans. Smart Grid, 2013

Volumetric analysis of medial temporal lobe structures in brain development from childhood to adolescence.
NeuroImage, 2013

A linear time approximation scheme for computing geometric maximum k-star.
J. Glob. Optim., 2013

The Power Distribution Network Expansion Planning Based on Stackelberg Minimum Weight k-Star Game.
J. Circuits Syst. Comput., 2013

CATALYST: planning layer directives for effective design closure.
Proceedings of the Design, Automation and Test in Europe, 2013

2012
An Interconnect Reliability-Driven Routing Technique for Electromigration Failure Avoidance.
IEEE Trans. Dependable Secur. Comput., 2012

Adaptive Fault-Tolerant Task Scheduling for Real-Time Energy harvesting Systems.
J. Circuits Syst. Comput., 2012

Discrete Wavelet Transform Based Circuit Layout Fingerprinting using Chaotic System.
J. Circuits Syst. Comput., 2012

Fast approximation for peak power driven voltage partitioning in almost linear time.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

2011
CAD for Gigascale SoC Design and Verification Solutions.
VLSI Design, 2011

Hierarchical Cross-Entropy Optimization for Fast On-Chip Decap Budgeting.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Reliability-Driven Energy-Efficient Task Scheduling for Multiprocessor Real-Time Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

Appearance-based modeling for segmentation of hippocampus and amygdala using multi-contrast MR imaging.
NeuroImage, 2011

Approximation scheme for restricted discrete gate sizing targeting delay minimization.
J. Comb. Optim., 2011

Power grid analysis with hierarchical support graphs.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

The approximation scheme for peak power driven voltage partitioning.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

2010
Pattern Sensitive Placement Perturbation for Manufacturability.
IEEE Trans. Very Large Scale Integr. Syst., 2010

A new asymmetric inclusion region for minimum weight triangulation.
J. Glob. Optim., 2010

Polynomial time approximation schemes for minimum disk cover problems.
J. Comb. Optim., 2010

An almost four-approximation algorithm for maximum weight triangulation.
J. Comb. Optim., 2010

A secure partition-based document image watermarking scheme.
Int. J. Inf. Comput. Secur., 2010

Adaptive task allocation for multiprocessor SoCs.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010

Ultra-fast interconnect driven cell cloning for minimizing critical path delay.
Proceedings of the 2010 International Symposium on Physical Design, 2010

The fast optimal voltage partitioning algorithm for peak power density minimization.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

Parallel hierarchical cross entropy optimization for on-chip decap budgeting.
Proceedings of the 47th Design Automation Conference, 2010

2009
A Fully Polynomial-Time Approximation Scheme for Timing-Constrained Minimum Cost Layer Assignment.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

Gate Sizing for Cell-Library-Based Designs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

A fast general slew constrained minimum cost buffering algorithm.
Microelectron. J., 2009

Fast characterization of parameterized cell library.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

A faster approximation scheme for timing driven minimum cost layer assignment.
Proceedings of the 2009 International Symposium on Physical Design, 2009

The epsilon-approximation to discrete VT assignment for leakage power minimization.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

A fully polynomial time approximation scheme for timing driven minimum cost buffer insertion.
Proceedings of the 46th Design Automation Conference, 2009

2008
Buffer Insertion Basics.
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008

Algorithmic techniques for nanometer VLSI design and manufacturing closure.
PhD thesis, 2008

Geometric-Invariant Image Watermarking by Key-Dependent Triangulation.
Informatica (Slovenia), 2008

Fast interconnect synthesis with layer assignment.
Proceedings of the 2008 International Symposium on Physical Design, 2008

A polynomial time approximation scheme for timing constrained minimum cost layer assignment.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

2007
Utilizing Redundancy for Timing Critical Interconnect.
IEEE Trans. Very Large Scale Integr. Syst., 2007

Fast Algorithms for Slew-Constrained Minimum Cost Buffering.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007

Joint level-set shape modeling and appearance modeling for brain structure segmentation.
NeuroImage, 2007

A linear time algorithm for max-min length triangulation of a convex polygon.
Inf. Process. Lett., 2007

An Efficient Algorithm for RLC Buffer Insertion.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Pattern sensitive placement for manufacturability.
Proceedings of the 2007 International Symposium on Physical Design, 2007

Unified adaptivity optimization of clock and logic signals.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

A New Twisted Differential Line Structure in Global Bus Design.
Proceedings of the 44th Design Automation Conference, 2007

2006
A new RLC buffer insertion algorithm.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

Steiner network construction for timing critical nets.
Proceedings of the 43rd Design Automation Conference, 2006

2005
Hybrid trigonometric differential evolution for optimizing harmonic distribution.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Adaptive Fre'chet kernel based support vector machine for text detection.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

Document Image Watermarking Algorithm Based on Neighborhood Pixel Ratio.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

Efficient Video Retrieval by Locality Sensitive Hashing.
Proceedings of the 2005 IEEE International Conference on Acoustics, 2005

2004
Key-dependant decomposition based image watermarking.
Proceedings of the 12th ACM International Conference on Multimedia, 2004

Quantum Neural Network for Image Watermarking.
Proceedings of the Advances in Neural Networks, 2004

A New Document Watermarking Algorithm Based on Hybrid Multi-scale Ant Colony System.
Proceedings of the Computer and Information Sciences, 2004

Document Image Watermarking Based on Weight-Invariant Partition Using Support Vector Machine.
Proceedings of the Document Analysis Systems VI, 6th International Workshop, 2004

Optimizing Surplus Harmonics Distribution in PWM.
Proceedings of the Intelligent Information Technology, 2004

2003
A Constant Approximation Algorithm for Maximum Weight Triangulation.
Proceedings of the 15th Canadian Conference on Computational Geometry, 2003


  Loading...