N. Ranganathan

Affiliations:
  • University of South Florida, Tampa, FL, USA


According to our database1, N. Ranganathan authored at least 240 papers between 1988 and 2018.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2002, "For contributions to algorithms and architectures for VLSI systems.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2018
A System Architecture for the Detection of Insider Attacks in Big Data Systems.
IEEE Trans. Dependable Secur. Comput., 2018

2017
LSTM-Based Memory Profiling for Predicting Data Attacks in Distributed Big Data Systems.
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium Workshops, 2017

2016
Enabling New Computation Paradigms with HyperFET - An Emerging Device.
IEEE Trans. Multi Scale Comput. Syst., 2016

Call Trace and Memory Access Pattern based Runtime Insider Threat Detection for Big Data Platforms.
CoRR, 2016

A Novel Control-flow based Intrusion Detection Technique for Big Data Systems.
CoRR, 2016

Memory access pattern based insider threat detection in big data systems.
Proceedings of the 2016 IEEE International Conference on Big Data (IEEE BigData 2016), 2016

2015
Design of Adiabatic Dynamic Differential Logic for DPA-Resistant Secure Integrated Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2015

Reversible logic based multiplication computing unit using binary tree data structure.
J. Supercomput., 2015

An energy-aware scheduling heuristic for distributed systems using non-cooperative games.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

GTFUZZ: a novel algorithm for robust dynamic power optimization via gate sizing with fuzzy games.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

A novel framework for mitigating insider attacks in big data systems.
Proceedings of the 2015 IEEE International Conference on Big Data (IEEE BigData 2015), Santa Clara, CA, USA, October 29, 2015

2014
Reversible Logic Based Design and Test of Field Coupled Nanocomputing Circuits.
Proceedings of the Field-Coupled Nanocomputing - Paradigms, Progress, and Perspectives, 2014

Design of Reversible Adder-Subtractor and its Mapping in Optical Computing Domain.
Trans. Comput. Sci., 2014

Synthesis of Dual-Rail Adiabatic Logic for Low Power Security Applications.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014

Efficient reversible NOR gates and their mapping in optical computing domain.
Microelectron. J., 2014

Forward Body Biased Adiabatic Logic for Peak and Average Power Reduction in 22nm CMOS.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

Circuit for Reversible Quantum Multiplier Based on Binary Tree Optimizing Ancilla and Garbage Bits.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014

A Feedback, Runtime Technique for Scaling the Frequency in GPU Architectures.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2014

2013
Design of Testable Reversible Sequential Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2013

A Clock Control Strategy for Peak Power and RMS Current Reduction Using Path Clustering.
IEEE Trans. Very Large Scale Integr. Syst., 2013

Design of efficient reversible logic-based binary and BCD adder circuits.
ACM J. Emerg. Technol. Comput. Syst., 2013

Guest editorial - Design methodologies for nanoelectronic digital and analogue circuits.
IET Circuits Devices Syst., 2013

A new CRL gate as super class of Fredkin gate to design reversible quantum circuits.
Proceedings of the IEEE 56th International Midwest Symposium on Circuits and Systems, 2013

A novel optimization method for reversible logic circuit minimization.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

Behavioral model of integrated qubit gates for quantum reversible logic design.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2013

2012
Dynamic clock stretching for variation compensation in VLSI circuit design.
ACM J. Emerg. Technol. Comput. Syst., 2012

Tutorial T2: Reversible Logic: Fundamentals and Applications in Ultra-Low Power, Fault Testing and Emerging Nanotechnologies, and Challenges in Future.
Proceedings of the 25th International Conference on VLSI Design, 2012

Design, Synthesis and Test of Reversible Circuits for Emerging Nanotechnologies.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Analysis of Reversible Logic Based Sequential Computing Structures Using Quantum Mechanics Principles.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Design of a Tree-Based Comparator and Memory Unit Based on a Novel Reversible Logic Structure.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Mach-Zehnder Interferometer Based All Optical Reversible NOR Gates.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012

Run-time power-gating in caches of GPUs for leakage energy savings.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Mach-Zehnder interferometer based design of all optical reversible binary adder.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
A Utilitarian Approach to Variation Aware Delay, Power, and Crosstalk Noise Optimization.
IEEE Trans. Very Large Scale Integr. Syst., 2011

Placement for Immunity of Transient Faults in Cell-Based Design of Nanometer Circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2011

State-Retentive Power Gating of Register Files in Multicore Processors Featuring Multithreaded In-Order Cores.
IEEE Trans. Computers, 2011

Redundancy Mining for Soft Error Detection in Multicore Processors.
IEEE Trans. Computers, 2011

Reversible Logic Based Concurrent Error Detection Methodology For Emerging Nanocircuits
CoRR, 2011

Design of a Reversible ALU Based on Novel Programmable Reversible Logic Gate Structures.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011

A new reversible design of BCD adder.
Proceedings of the Design, Automation and Test in Europe, 2011

An Instruction-Level Energy Estimation and Optimization Methodology for GPU.
Proceedings of the 11th IEEE International Conference on Computer and Information Technology, 2011

2010
Timing-Based Placement Considering Uncertainty Due to Process Variations.
IEEE Trans. Very Large Scale Integr. Syst., 2010

A VLSI Architecture and Algorithm for Lucas-Kanade-Based Optical Flow Computation.
IEEE Trans. Very Large Scale Integr. Syst., 2010

A Game Theoretic Approach for Simultaneous Compaction and Equipartitioning of Spatial Data Sets.
IEEE Trans. Knowl. Data Eng., 2010

Design of reversible sequential circuits optimizing quantum cost, delay, and garbage outputs.
ACM J. Emerg. Technol. Comput. Syst., 2010

Design of Reversible Latches Optimized for Quantum Cost, Delay and Garbage Outputs.
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010

2009
A Framework for Power-Gating Functional Units in Embedded Microprocessors.
IEEE Trans. Very Large Scale Integr. Syst., 2009

A Framework for Correction of Multi-Bit Soft Errors in L2 Caches Based on Redundancy.
IEEE Trans. Very Large Scale Integr. Syst., 2009

Variation-aware multimetric optimization during gate sizing.
ACM Trans. Design Autom. Electr. Syst., 2009

Conservative QCA Gate (CQCA) for Designing Concurrently Testable Molecular QCA Circuits.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

RADJAM: A Novel Approach for Reduction of Soft Errors in Logic Circuits.
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009

Design of Efficient Reversible Binary Subtractors Based on a New Reversible Gate.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009

A New Placement Algorithm for Reduction of Soft Errors in Macrocell Based Design of Nanometer Circuits.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009

A unified gate sizing formulation for optimizing soft error rate, cross-talk noise and power under process variations.
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009

Concurrently Testable FPGA Design for Molecular QCA using Conservative Reversible Logic Gate.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Exploring Compiler Optimizations for Enhancing Power Gating.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A Strategy for Soft Error Reduction in Multi Core Designs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

A VLSI System Architecture for Optical Flow Computation.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

Compiler-directed leakage reduction in embedded microprocessors.
Proceedings of the 27th International Conference on Computer Design, 2009

2008
A Fuzzy Optimization Approach for Variation Aware Power Minimization During Gate Sizing.
IEEE Trans. Very Large Scale Integr. Syst., 2008

A Fuzzy Approach for Variation Aware Buffer Insertion and Driver Sizing.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2008

An expected-utility based approach to variation aware VLSI optimization under scarce information.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Reliability-centric gate sizing with simultaneous optimization of soft error rate, delay and power.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

A microeconomic approach to multi-objective spatial clustering.
Proceedings of the 19th International Conference on Pattern Recognition (ICPR 2008), 2008

Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

A linear programming formulation for security-aware gate sizing.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

2007
Multievent Crisis Management Using Noncooperative Multistep Games.
IEEE Trans. Computers, 2007

A Sequential Distinguisher for Covert Channel Identification.
Int. J. Netw. Secur., 2007

VLSI architecture and chip for combined invisible robust and fragile watermarking.
IET Comput. Digit. Tech., 2007

A Compiler Based Leakage Reduction Technique by Power-Gating Functional Units in Embedded Microprocessors.
Proceedings of the 20th International Conference on VLSI Design (VLSI Design 2007), 2007

Statistical Gate Sizing for Yield Enhancement at Post Layout Level.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Integrated Gate and Wire Sizing at Post Layout Level.
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007

Variation Aware Timing Based Placement Using Fuzzy Programming.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

A microeconomic approach to multi-robot team formation.
Proceedings of the 2007 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 29, 2007

Improving the reliability of on-chip L2 cache using redundancy.
Proceedings of the 25th International Conference on Computer Design, 2007

2006
ILP models for simultaneous energy and transient power minimization during behavioral synthesis.
ACM Trans. Design Autom. Electr. Syst., 2006

A game-theoretic framework for multimetric optimization of interconnect delay, power, and crosstalk noise during wire sizing.
ACM Trans. Design Autom. Electr. Syst., 2006

A stimulus-free graphical probabilistic switching model for sequential circuits using dynamic bayesian networks.
ACM Trans. Design Autom. Electr. Syst., 2006

A dual voltage-frequency VLSI chip for image watermarking in DCT domain.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Improving Accuracy in Mitchell's Logarithmic Multiplication Using Operand Decomposition.
IEEE Trans. Computers, 2006

Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory.
IEEE Trans. Computers, 2006

An Automatic Code Generation Tool for Partitioned Software in Distributed Systems.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

Leakage Power Reduction in Dual-Vdd and Dual-Vth Designs through Probabilistic Analysis of Vth Variation.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

An Efficient and Accurate Logarithmic Multiplier Based on Operand Decomposition.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization of Interconnect Delay and Crosstalk Noise.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006

CHESS: A Comprehensive Tool for CDFG Extraction and Synthesis of Low Power Designs from VHDL.
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006

Social Fairness in Multi-Emergency Resource Management.
Proceedings of the Disaster Preparedness and Recovery: IEEE International Symposium on Technology and Society, 2006

Post-Layout Gate Sizing for Interconnect Delay and Crosstalk Noise Optimization.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006

A novel approach for variation aware power minimization during gate sizing.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

2005
A VLSI architecture for visible watermarking in a secure still digital camera (S<sup>2</sup>/DC) design (Corrected)*.
IEEE Trans. Very Large Scale Integr. Syst., 2005

A VLSI architecture for watermarking in a secure still digital camera (S<sup>2</sup>DC) design.
IEEE Trans. Very Large Scale Integr. Syst., 2005

Energy-efficient datapath scheduling using multiple voltages and dynamic clocking.
ACM Trans. Design Autom. Electr. Syst., 2005

Design of a Low Power Image Watermarking Encoder Using Dual Voltage and Frequency.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

Estimation of Switching Activity in Sequential Circuits Using Dynamic Bayesian Networks.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005

A Nonlinear Programming Based Power Optimization Methodology for Gate Sizing and Voltage Selection.
Proceedings of the 2005 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2005), 2005

2004
Editorial.
IEEE Trans. Very Large Scale Integr. Syst., 2004

A framework for energy and transient power reduction during behavioral synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2004

LECTOR: a technique for leakage reduction in CMOS circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Cascaded Bayesian inferencing for switching activity estimation with correlated inputs.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Stochastic channel-adaptive rate control for wireless video transmission.
Pattern Recognit. Lett., 2004

Game Theoretic Modeling of Voltage and Frequency Scaling during Behavioral Synthesis.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Gate Sizing and Buffer Insertion using Economic Models for Power Optimization.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

VLSI Implementation of Visible Watermarking for a Secure Digital Still Camera Design.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

ILP Models for Energy and Transient Power Minimization During Behavioral Synthesis.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

A New Technique for Leakage Reduction in CMOS Circuits using Self-Controlled Stacked Transistors.
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004

Control and Data Flow Graph Extraction for High-Level Synthesis.
Proceedings of the 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), 2004

2003
A game theoretic approach for power optimization during behavioral synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Petri net modeling of gate and interconnect delays for power estimation.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Routing on field-programmable switch matrices.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Multiterminal net routing for partial crossbar-based multi-FPGA systems.
IEEE Trans. Very Large Scale Integr. Syst., 2003

Switching activity estimation of VLSI circuits using Bayesian networks.
IEEE Trans. Very Large Scale Integr. Syst., 2003

A Game-Theoretic Approach for Binding in Behavioral Synthesis.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Energy Efficient Scheduling for Datapath Synthesis.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003

Peak Power Minimization Through Datapath Scheduling.
Proceedings of the 2003 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2003), 2003

An ILP-based scheduling scheme for energy efficient high performance datapath synthesis.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Transient power minimization through datapath scheduling in multiple supply voltage environment.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

A Microeconomic Model for Simultaneous Gate Sizing and Voltage Scaling for Power Optimization.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Power Fluctuation Minimization During Behavioral Synthesis using ILP-Based Datapath Scheduling.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003

Simultaneous peak and average power minimization during datapath scheduling for DSP processors.
Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, 2003

A low power scheduler using game theory.
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003

2002
Least-square estimation of average power in digital CMOS circuits.
IEEE Trans. Very Large Scale Integr. Syst., 2002

A comparative study of bidirectional ring and crossbar interconnection networks.
Comput. Electr. Eng., 2002

A Real Delay Switching Activity Simulator Based on Petri Net Modeling.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Switching Activity Estimation of Large Circuits using Multiple Bayesian Networks.
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002

Datapath Scheduling using Dynamic Frequency Clocking.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002

Power estimation of sequential circuits using hierarchical colored hardware petri net modeling.
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002

Modeling Switching Activity Using Cascaded Bayesian Networks for Correlated Input Streams.
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002

A VLSI Architecture for Object Recognition Using Tree Matching.
Proceedings of the 13th IEEE International Conference on Application-Specific Systems, 2002

2001
IDUTC: an intelligent decision-making system for urban traffic-control applications.
IEEE Trans. Veh. Technol., 2001

A partitioning algorithm for technoiogy-mapped designs on single-chip emulation systems.
IEEE Trans. Very Large Scale Integr. Syst., 2001

An intelligent system for failure detection and control in an autonomous underwater vehicle.
IEEE Trans. Syst. Man Cybern. Part A, 2001

Context-based lossless image coding using EZW framework.
IEEE Trans. Circuits Syst. Video Technol., 2001

Average Power in Digital CMOS Circuits using Least Square Estimation.
Proceedings of the 14th International Conference on VLSI Design (VLSI Design 2001), 2001

Dependency Preserving Probabilistic Modeling of Switching Activity using Bayesian Networks.
Proceedings of the 38th Design Automation Conference, 2001

2000
Utilization of cache area in on-chip multiprocessor.
Microprocess. Microsystems, 2000

VBR video traffic management using a predictor-based architecture.
Comput. Commun., 2000

CREAM: Combined Register and Module Assignment with Floorplanning for Low Power Datapath Synthesis.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Routing on Switch Matrix Multi-FPGA Systems.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

Design Partitioning on Single-Chip Emulation Systems.
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000

New Cost Metrics for Iterative Task Assignment Algorithms in Heterogeneous Computing Systems.
Proceedings of the 9th Heterogeneous Computing Workshop, 2000

1999
A tree-matching chip.
IEEE Trans. Very Large Scale Integr. Syst., 1999

Computation of lower bounds for switching activity using decision theory.
IEEE Trans. Very Large Scale Integr. Syst., 1999

Performance analysis of wavelets in embedded zerotree-based lossless image coding schemes.
IEEE Trans. Signal Process., 1999

Computing the bivariate Gaussian probability integral.
IEEE Signal Process. Lett., 1999

Energy Efficient Datapath Synthesis Using Dynamic Frequency Clocking and Multiple Voltages.
Proceedings of the 12th International Conference on VLSI Design (VLSI Design 1999), 1999

A Learning Automata Based Framework for Task Assignment in Heterogeneous Computing Systems.
Proceedings of the 1999 ACM Symposium on Applied Computing, 1999

Context based lossless intraframe coding of video sequence using embedded zerotree wavelets.
Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30, 1999

Context modeling of wavelet coefficients in EZW-based lossless image coding.
Proceedings of the 1999 IEEE International Conference on Acoustics, 1999

Multiple Cost Optimization for Task Assignment in Heterogeneous Computing Systems Using Learning Automata.
Proceedings of the 8th Heterogeneous Computing Workshop, 1999

Multi-Terminal Net Routing for Partial Crossbar-Based Multi-FPGA Systems.
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999

Tuning Branch Predictors to Support Virtual Method Invocation in Java.
Proceedings of the 5th USENIX Conference on Object-Oriented Technologies & Systems, 1999

1998
A linear array processor with dynamic frequency clocking for image processing applications.
IEEE Trans. Circuits Syst. Video Technol., 1998

Adaptive quantization and fast error-resilient entropy coding for image transmission.
IEEE Trans. Circuits Syst. Video Technol., 1998

A VLSI Architecture for Approximate Tree Matching.
IEEE Trans. Computers, 1998

A generalized sequential sign detector for binary hypothesis testing.
IEEE Signal Process. Lett., 1998

SMAC: A VLSI Architecture for Scene Matching.
Real Time Imaging, 1998

A Forum for VLSI Practitioners.
Computer, 1998

Adaptive VBR video traffic management for higher utilization of ATM networks.
Comput. Commun. Rev., 1998

A VLSI ATM Switch Architecture for VBR Traffic.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Computation of Lower and Upper Bounds for Switching Activity: A Unified Approach.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998

Rate control for a video coder using learning automata.
Proceedings of the IEEE International Conference on Systems, Man and Cybernetics, 1998

An adaptive scheme for better utilization with QoS constraints for VBR video traffic in ATM networks.
Proceedings of the Third IEEE Symposium on Computers and Communications (ISCC 1998), June 30, 1998

Joint Optimization of Quantization and On-Line Channel Estimation for Low Bit-Rate Video Transmission.
Proceedings of the 1998 IEEE International Conference on Image Processing, 1998

A simple adaptive wormhole routing algorithm for MIMD systems.
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998

A scene-based generalized Markov chain model for VBR video traffic.
Proceedings of the 1998 IEEE International Conference on Communications, 1998

A Methodology for High Level Power Estimation and Exploration.
Proceedings of the 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 1998

Object-Oriented Architectural Support for a Java Processor.
Proceedings of the ECOOP'98, 1998

Empirical Channel Matched Quantizer Design and UEP for Robust Image Transmission.
Proceedings of the Data Compression Conference, 1998

1997
C3L: A Chip for Connected Component Labeling.
Proceedings of the 10th International Conference on VLSI Design (VLSI Design 1997), 1997

Effect of Message Length and Processor Speed on the Performance of the Bidirectional Ring-Based Multiprocessor.
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997

1996
SUBGEN: a genetic approach for subcircuit extraction.
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996

Mapping and parallel implementation of Bayesian belief networks.
Proceedings of the Eighth IEEE Symposium on Parallel and Distributed Processing, 1996

An intelligent system architecture for urban traffic control applications.
Proceedings of the Eighth IEEE Symposium on Parallel and Distributed Processing, 1996

A dynamic frequency linear array processor for image processing.
Proceedings of the 13th International Conference on Pattern Recognition, 1996

Lossless image compression using wavelet decomposition.
Proceedings of the 13th International Conference on Pattern Recognition, 1996

SVBS: a high-resolution medical image compression algorithm using slicing with variable block size segmentation.
Proceedings of the 13th International Conference on Pattern Recognition, 1996

A VLSI system architecture for lossless image compression.
Proceedings of the 13th International Conference on Pattern Recognition, 1996

SIMD algorithms for single link and complete link pattern clustering.
Proceedings of the 13th International Conference on Pattern Recognition, 1996

PANTHER: a parallel neuro-systolic architecture for real-time processing.
Proceedings of International Conference on Neural Networks (ICNN'96), 1996

DFLAP: a dynamic frequency linear array processor.
Proceedings of the Proceedings 1996 International Conference on Image Processing, 1996

A VLSI array architecture with dynamic frequency clocking.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

A VLSI chip for image compression using variable block size segmentation.
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996

A linear systolic algorithm and architecture for convex bipartite matching.
Proceedings of the 3rd International Conference on High Performance Computing, 1996

A VLSI System Architecture For Real-Time Intelligent Decision Making.
Proceedings of the 1996 International Conference on Application-Specific Systems, 1996

1995
A high speed systolic architecture for labeling connected components in an image.
IEEE Trans. Syst. Man Cybern., 1995

A lossless image compression algorithm using variable block size segmentation.
IEEE Trans. Image Process., 1995

JAGUAR: a fully pipelined VLSI architecture for JPEG image compression standard.
Proc. IEEE, 1995

CASM: A VLSI Chip for Approximate String Matching.
IEEE Trans. Pattern Anal. Mach. Intell., 1995

VLSI Architectures for High-Speed Range Estimation.
IEEE Trans. Pattern Anal. Mach. Intell., 1995

PMAC: A Polygon Matching Chip.
Int. J. Pattern Recognit. Artif. Intell., 1995

Conference Reports.
IEEE Des. Test Comput., 1995

JAGUAR: a high speed VLSI chip for JPEG image compression standard.
Proceedings of the 8th International Conference on VLSI Design (VLSI Design 1995), 1995

Systolic algorithms for tree pattern matching.
Proceedings of the 1995 International Conference on Computer Design (ICCD '95), 1995

A VLSI Architecture for Computer the Tree-to-Tree Distance.
Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture (HPCA 1995), 1995

A systolic algorithm and architecture for image thinning.
Proceedings of the 5th Great Lakes Symposium on VLSI (GLS-VLSI '95), 1995

A prototype VLSI chip architecture for JPEG image compression.
Proceedings of the 1995 European Design and Test Conference, 1995

1994
Efficient computation of gabor filter based multiresolution responses.
Pattern Recognit., 1994

VLSI Architectures for Pattern Matching.
Int. J. Pattern Recognit. Artif. Intell., 1994

Modeling Sensor Confidence for Sensor Integration Tasks.
Int. J. Pattern Recognit. Artif. Intell., 1994

ACE: A VLSI Chip for Galois Field GF (2<sup>m</sup>) Based Exponentiation.
Proceedings of the Seventh International Conference on VLSI Design, 1994

A Parallel Algorithm and Architecture for Robot Path Planning.
Proceedings of the 8th International Symposium on Parallel Processing, 1994

An efficient VLSI architecture for template matching based on moment preserving pattern matching.
Proceedings of the 12th IAPR International Conference on Pattern Recognition, 1994

An Efficient VLSI Architecture for Template Matching.
Proceedings of the 1994 International Conference on Parallel Processing, 1994

A VLSI Chip for Template Matching.
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994

1993
VLSI architectures for polygon recognition.
IEEE Trans. Very Large Scale Integr. Syst., 1993

MARVLE: a VLSI chip for data compression using tree-based codes.
IEEE Trans. Very Large Scale Integr. Syst., 1993

SIGMA: a VLSI systolic array implementation of a Galois field GF(2 <sup>m</sup>) based multiplication and division algorithm.
IEEE Trans. Very Large Scale Integr. Syst., 1993

Hardware Algorithms for Polygon Matching.
Proceedings of the Sixth International Conference on VLSI Design, 1993

SIGMA: A VLSI Chip for Galois Field GF(2<sup>m</sup>) Based Multiplication and Division.
Proceedings of the Sixth International Conference on VLSI Design, 1993

VLSI Architectures for Depth Estimation Using Intensity Gradient Analysis.
Proceedings of the Seventh International Parallel Processing Symposium, 1993

A Model for Determining Sensor Confidence.
Proceedings of the 1993 IEEE International Conference on Robotics and Automation, 1993

A Systolic Array for Approximate String Matching.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

SMAC: A Scene Matching Chip.
Proceedings of the Proceedings 1993 International Conference on Computer Design: VLSI in Computers & Processors, 1993

1992
Gabor filter-based edge detection.
Pattern Recognit., 1992

A VLSI systolic array processor chip for computing joins in a relational database.
Microprocess. Microsystems, 1992

A Two-dimensional Systolic Array Processor for Image Processing.
Proceedings of the Fifth International Conference on VLSI Design, 1992

A CMOS VLSI Chip for Motion Detection.
Proceedings of the Fifth International Conference on VLSI Design, 1992

trulla : An Algorithm For Path Planning Among Weighted Regions By Localized Propagations.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 1992

A Systolic Algorithm and Architecture for Galois Field Arithmetic.
Proceedings of the 6th International Parallel Processing Symposium, 1992

A VLSI architecture for hierarchical scene matching.
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992

A VLSI hardware accelerator for dynamic time warping.
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992

SIBA: a VLSI systolic array chip for image processing.
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992

Edge detection models based on Gabor filters.
Proceedings of the 11th IAPR International Conference on Pattern Recognition, 1992

MARVLE: A VLSI Chip for Variable Length Encoding and Decoding.
Proceedings of the Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1992

1991
A VLSI architecture for a half-edge-based corner detector.
Mach. Vis. Appl., 1991

A VLSI architecture for dynamic scene analysis.
CVGIP Image Underst., 1991

An architecture to implement multiresolution.
Proceedings of the 1991 International Conference on Acoustics, 1991

1990
Corner detection.
Pattern Recognit., 1990

A parallel architecture for data compression.
Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, 1990

Effect of Data Compression Hardware on the Performance of a Relational Database Machine.
Proceedings of the First International Conference on Databases, 1990

A VLSI architecture for difference picture-based dynamic scene analysis.
Proceedings of the 10th IAPR International Conference on Pattern Recognition, 1990

Fast spatiotemporal filters.
Proceedings of the 10th IAPR International Conference on Pattern Recognition, 1990

SAP: design of a systolic array processor for computation in vision.
Proceedings of the 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors, 1990

1989
Enhancing arithmetic and tree-based coding.
Inf. Process. Manag., 1989

A VLSI system for difference picture-based motion analysis.
Proceedings of the IEEE International Workshop on Tools for Artificial Intelligence: Architectures, 1989

On Software and Hardware Techniques of Data Engineering.
Proceedings of the Fifth International Conference on Data Engineering, 1989

Adaptive and pipelined VLSI designs for tree-based codes.
Proceedings of the Computer Design: VLSI in Computers and Processors, 1989

1988
A VLSI architecture for computing scale space.
Comput. Vis. Graph. Image Process., 1988

Software and Hardware Enhancement of Arithmetic Coding.
Proceedings of the Statistical and Scientific Database Management, 1988

A scheme for data compression in supercomputers.
Proceedings of the Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, 1988


  Loading...