Jussi Ryynänen

Orcid: 0000-0002-2241-092X

Affiliations:
  • Aalto University, Finland


According to our database1, Jussi Ryynänen authored at least 127 papers between 1999 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
Digital Polar Transmitters for Massive MIMO: Sum-Rate and Power Efficiency Analysis.
IEEE Trans. Wirel. Commun., January, 2024

Reconfigurable Signal Processing and DSP Hardware Generator for 5G and Beyond Transmitters.
IEEE Trans. Very Large Scale Integr. Syst., January, 2024

2023
Multilevel Outphasing With Over-the-Air Combining in Large Antenna Arrays.
IEEE Trans. Commun., December, 2023

End-to-End Multi-Target Verification Environment for a RISC-V Microprocessor.
Proceedings of the 19th International Conference on Synthesis, 2023

An Automated EM-Simulation Environment with Parameterized Layout Generation for Microwave Integrated Circuits.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2023

Toward All-Digital Time-Domain Neural Network Accelerators for In-Sensor Processing Applications.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2023

Active Wideband 55-100-GHz Downconversion Mixer in 22-nm FDSOI CMOS.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2023

High-Precision Time-to-Digital Conversion for Calibration of Outphasing Radio Transmitters.
Proceedings of the 21st IEEE Interregional NEWCAS Conference, 2023

2022
Energy-Efficient Cyclic-Coupled Ring Oscillator With Delay-Based Injection Locking.
IEEE Trans. Circuits Syst. II Express Briefs, 2022

True-Time-Delay Receiver IC With Reconfigurable Analog and Digital Beamforming.
IEEE Access, 2022

Reconfigurable Signal Processing and DSP Hardware Generator for 5G Transmitters.
Proceedings of the IEEE Nordic Circuits and Systems Conference, NorCAS 2022, Oslo, 2022

A 2 GS/s 9-bit Time-Interleaved SAR ADC with Overlapping Conversion Steps.
Proceedings of the 20th IEEE Interregional NEWCAS Conference, 2022

A 0.9-Nyquist-Band Digital Timing Mismatch Correction for Time-Interleaved ADCs Achieving Delay Tuning Range of 0.12-Sample-Period.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

Design of Cyclic-Coupled Ring Oscillators with Guaranteed Maximal Phase Resolution.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022

A 0.8-6 GHz True-Time-Delay Beam-Nulling Receiver Front-End.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

A 6-20 GHz 400-MHz Modulation-Bandwidth CMOS Transmitter IC.
Proceedings of the 29th IEEE International Conference on Electronics, Circuits and Systems, 2022

2021
Quantized Polar Transmitters for Power Efficient Massive MIMO Systems.
IEEE Wirel. Commun. Lett., 2021

Data Conversion With Subgate-Delay Time Resolution Using Cyclic-Coupled Ring Oscillators.
IEEE Trans. Very Large Scale Integr. Syst., 2021

A 100-750 MS/s 11-Bit Time-to-Digital Converter With Cyclic-Coupled Ring Oscillator.
IEEE Access, 2021

A Transmitter IC with Supply Tuning for Frequency-Reconfigurable Antenna Cluster.
Proceedings of the 64th IEEE International Midwest Symposium on Circuits and Systems, 2021

A Compact Low-Power 140-GHz Low-Noise Amplifier with 19-dB Gain and 7-dB NF.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 5.4-GHz 2/3/4-Modulus Fractional Frequency Divider Circuit in 28-nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Energy-Efficient Array Transmitters Through Outphasing and Over-the-Air Combining.
Proceedings of the 55th Asilomar Conference on Signals, Systems, and Computers, 2021

2020
True-Time-Delay Beamforming Receiver With RF Re-Sampling.
IEEE Trans. Circuits Syst., 2020

Time-Based Sensor Interface for Dopamine Detection.
IEEE Trans. Circuits Syst., 2020

Resilient flow control for wireless data streaming in inductively coupled medical implants.
Microprocess. Microsystems, 2020

Injection Locking of Ring Oscillators with Digitally Controlled Delay Modulation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

Sub-1 V Output-Capacitor-Less Low-Dropout Regulator with Two Compensation Amplifiers for Enhanced Power Supply Rejection.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A 3.5-GHz Digitally-Controlled Open-Loop Fractional-N Frequency Divider in 28-nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

A 30-GHz Switched-Capacitor Power Amplifier for 5G SoCs.
Proceedings of the 27th IEEE International Conference on Electronics, Circuits and Systems, 2020

2019
A 1.5-1.9-GHz All-Digital Tri-Phasing Transmitter With an Integrated Multilevel Class-D Power Amplifier Achieving 100-MHz RF Bandwidth.
IEEE J. Solid State Circuits, 2019

Quantization noise upconversion effects in mixer-first direct delta-sigma receivers.
Int. J. Circuit Theory Appl., 2019

A Sensor Interface for Neurochemical Signal Acquisition.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

A Delay-Based LO Phase-Shifting Generator for a 2-5GHz Beamsteering Receiver in 28nm CMOS.
Proceedings of the 45th IEEE European Solid State Circuits Conference, 2019

2018
Spatial Interpolation of Cyclostationary Test Statistics in Cognitive Radio Networks: Methods and Field Measurements.
IEEE Trans. Veh. Technol., 2018

A High-Speed DSP Engine for First-Order Hold Digital Phase Modulation in 28-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

Tri-Phasing Modulation for Efficient and Wideband Radio Transmitters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A Systematic Design Method for Direct Delta-Sigma Receivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A common-gate common-source low noise amplifier based RF front end with selective input impedance matching for blocker-resilient receivers.
Int. J. Circuit Theory Appl., 2018

A Configurable Hysteresis Comparator for Asynchronous Sigma-Delta Modulators.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

A Low-Power Hardware Stack for Continuous Data Streaming from Telemetry Implants.
Proceedings of the 2018 IEEE Nordic Circuits and Systems Conference, 2018

A 20-60GHz Digitally Controlled Composite Oscillator for 5G.
Proceedings of the 2018 New Generation of CAS, 2018

A 3-43ps time-delay cell for LO phase-shifting in 1.5-6.5GHz beamsteering receiver.
Proceedings of the 16th IEEE International New Circuits and Systems Conference, 2018

Spectral Effects of Discrete-Time Amplitude Levels in Digital-Intensive Wideband Radio Transmitters.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Design and Implementation of a Wideband Digital Interpolating Phase Modulator RF Front-End.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

Full-Duplex Wireless Transceiver Self-Interference Cancellation Through FD-SOI Buried-Gate Signaling.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018

A VCO-based ADC with Relaxation Oscillator for Biomedical Applications.
Proceedings of the 25th IEEE International Conference on Electronics, Circuits and Systems, 2018

2017
All-Digital LTE SAW-Less Transmitter With DSP-Based Programming of RX-Band Noise.
IEEE J. Solid State Circuits, 2017

13.4 All-digital RF transmitter in 28nm CMOS with programmable RX-band noise shaping.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

13.5 A 0.35-to-2.6GHz multilevel outphasing transmitter with a digital interpolating phase modulator enabling up to 400MHz instantaneous bandwidth.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

A wideband blocker-resilient direct ΔΣ receiver with selective input-impedance matching.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A charge limiting and redistribution method for delay line locking in multi-output clock generation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Dynamic element matching in digital-to-analog converters with code-dependent output resistance.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

Open-loop all-digital delay line with on-chip calibration via self-equalizing delays.
Proceedings of the 2017 European Conference on Circuit Theory and Design, 2017

2016
Performance Evaluation of Cyclostationary-Based Cooperative Sensing Using Field Measurements.
IEEE Trans. Veh. Technol., 2016

Digital Interpolating Phase Modulator for Wideband Outphasing Transmitters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016

A wideband blocker-resilient RF front-end with selective input-impedance matching for direct-ΔΣ-receiver architectures.
Proceedings of the IEEE Nordic Circuits and Systems Conference, 2016

Session 20 overview: RF-to-THz transceiver techniques.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

Class D CMOS power amplifier with on/off logic for a multilevel outphasing transmitter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016

Reference receiver enabled digital cancellation of nonlinear out-of-band blocker distortion in wideband receivers.
Proceedings of the 2016 IEEE Global Conference on Signal and Information Processing, 2016

2015
Analysis and Design of N-Path Filter Offset Tuning in a 0.7-2.7-GHz Receiver Front-End.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

Jussi Ryynänen Introduction to the December Special Issue on the 2015 IEEE International Solid-State Circuits Conference.
IEEE J. Solid State Circuits, 2015

A Programmable 0.7-2.7 GHz Direct ΔΣ Receiver in 40 nm CMOS.
IEEE J. Solid State Circuits, 2015

Next-Generation RF Front-End Design Methods for Direct ΔΣ Receivers.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2015

A 0.8-3 GHz mixer-first receiver with on-chip transformer balun in 65-nm CMOS.
Proceedings of the ESSCIRC Conference 2015, 2015

The synthesis of noise transfer functions for bandpass delta-sigma modulators with tunable center frequency.
Proceedings of the European Conference on Circuit Theory and Design, 2015

All-digital phase-locked loop in 40 nm CMOS for 5.8 Gbps serial link transmitter.
Proceedings of the European Conference on Circuit Theory and Design, 2015

2014
RX-Band Noise Reduction in All-Digital Transmitters With Configurable Spectral Shaping of Quantization and Mismatch Errors.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

Characteristics of LNA Operation in Direct Delta-Sigma Receivers.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

28.1 A programmable 0.7-to-2.7GHz direct ΔΣ receiver in 40nm CMOS.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

A 1.2 - 6.4 GHz clock generator with a low-power DCO and programmable multiplier in 40-nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014

A 2.5-GHz 4.2-dB NF direct ΔΣ receiver with a frequency-translating integrator.
Proceedings of the ESSCIRC 2014, 2014

Measurement campaign for collaborative sensing using cyclostationary based mobile sensors.
Proceedings of the IEEE International Symposium on Dynamic Spectrum Access Networks, 2014

2013
Survey and Analysis of Cyclostationary Signal Detector Implementations on FPGA.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2013

A programmable DSP front-end for all-digital 4G transmitters.
Proceedings of the IEEE 11th International New Circuits and Systems Conference, 2013

Digital linearization of direct-conversion spectrum sensing receiver.
Proceedings of the IEEE Global Conference on Signal and Information Processing, 2013

A 0.3-to-8.5GHz frequency synthesizer based on digital period synthesis.
Proceedings of the ESSCIRC 2013, 2013

A configurable sampling rate converter for all-digital 4G transmitters.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

Design tradeoffs in N-path GmC integrators for direct delta-sigma receivers.
Proceedings of the 21st European Conference on Circuit Theory and Design, 2013

2012
Correlation-Based Detection of OFDM Signals in the Angular Domain.
IEEE Trans. Veh. Technol., 2012

An All-Digital PLL Frequency Synthesizer With an Improved Phase Digitization Approach and an Optimized Frequency Calibration Technique.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Wideband 2 to 6 GHz RF Front-End With Blocker Filtering.
IEEE J. Solid State Circuits, 2012

N-path gmC filter modeling and analysis for direct delta-sigma receiver.
Proceedings of the 10th IEEE International NEWCAS Conference, 2012

2011
Cyclical regulation of the insulin-like growth factor binding protein 3 gene in response to 1α, 25-dihydroxyvitamin D<sub>3</sub>.
Nucleic Acids Res., 2011

Electrical properties of CVD-graphene FETs.
Proceedings of the 2011 NORCHIP, Lund, Sweden, November 14-15, 2011, 2011

A 0.7 - 2.6 GHz high-linearity rf front-end for cognitive radio spectrum sensing.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Implementation of all-digital wideband RF frequency synthesizers in 65-nm CMOS technology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Wideband 2 to 6GHz RF front-end with blocker filtering.
Proceedings of the 37th European Solid-State Circuits Conference, 2011

Trade-offs in primary detection using a mobile phone as a sensing device.
Proceedings of the 6th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2011

Design and performance trade-offs in parallelized RF SDR architecture.
Proceedings of the 6th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2011

Multi-mode, multi-band spectrum sensor for cognitive radios embedded to a mobile phone.
Proceedings of the 6th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2011

2010
A 2.4-GHz Low-Power All-Digital Phase-Locked Loop.
IEEE J. Solid State Circuits, 2010

A Reconfigurable Multi-standard Radio Platform.
Proceedings of the Mobile Multimedia Communications - 6th International ICST Conference, 2010

A wide-band digitally controlled ring oscillator.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Chip-to-chip communications using capacitive interconnects.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

30-39GHz 2Gbit/s ring oscillator based OOK-modulator for chip-to-chip communications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

Active polyphase filter analysis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
A 240-MHz Low-Pass Filter With Variable Gain in 65-nm CMOS for a UWB Radio Receiver.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

Analysis and design of common-gate low-noise amplifier for wideband applications.
Int. J. Circuit Theory Appl., 2009

Spectral purity analysis of integer-N PLL.
Proceedings of the 16th IEEE International Conference on Electronics, 2009

A low-power wide-band digital frequency synthesizer for cognitive radio sensor units.
Proceedings of the 35th European Solid-State Circuits Conference, 2009

Agile frequency synthesizer for cognitive radios.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

Spectrum estimator and cyclostattionary detector for cognitive radio.
Proceedings of the 19th European Conference on Circuit Theory and Design, 2009

Implementation of Cyclostationary Feature Detector for Cognitive Radios.
Proceedings of the 4th International ICST Conference on Cognitive Radio Oriented Wireless Networks and Communications, 2009

2008
Analysis and Design of Passive Polyphase Filters.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

An ADPLL-based fast start-up technique for sensor radio frequency synthesizers.
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008

A WiMedia UWB receiver with a synthesizer.
Proceedings of the ESSCIRC 2008, 2008

2007
A 1.2V 240MHz CMOS Continuous-Time Low-Pass Filter for a UWB Radio Receiver.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

Design of Precise Gain GmC-leapfrog Filters.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

Analysis of different feedback topologies to LNA input matching.
Proceedings of the 18th European Conference on Circuit Theory and Design, 2007

2006
WCDMA multicarrier receiver for base-station applications.
IEEE J. Solid State Circuits, 2006

Design of a high linearity mixer for direct-conversion base-station receiver.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 10-MHz channel-select filter for a multicarrier WCDMA base-station.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
2.4-GHz receiver for sensor applications.
IEEE J. Solid State Circuits, 2005

IIP2 calibration methods for current output mixer in direct-conversion receivers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

RC-load analysis of the downconversion mixer IIP2.
Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005

2004
An IIP2 calibration technique for direct conversion receivers.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

2.4-GHz receiver for sensor applications.
Proceedings of the 33rd European Solid-State Circuits Conference, 2004

2003
A single-chip multimode receiver for GSM900, DCS1800, PCS1900, and WCDMA.
IEEE J. Solid State Circuits, 2003

A linearized 2-GHz SiGe low noise amplifier for direct conversion receiver.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Direct conversion receiver for GSM900, DCS1800, PCS1900, and WCDMA.
Proceedings of the 2003 10th IEEE International Conference on Electronics, 2003

2002
Calibration techniques of active BiCMOS mixers.
IEEE J. Solid State Circuits, 2002

RF gain control in direct conversion receivers.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

2001
A dual-band RF front-end for WCDMA and GSM applications.
IEEE J. Solid State Circuits, 2001

A 22-mA 3.0-dB NF direct conversion receiver for 3G WCDMA.
IEEE J. Solid State Circuits, 2001

Design of low-voltage active mixer for direct conversion receivers.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001

1999
A 2-GHz wide-band direct conversion receiver for WCDMA applications.
IEEE J. Solid State Circuits, 1999


  Loading...