Hyeon-Min Bae

Orcid: 0000-0001-5513-5285

According to our database1, Hyeon-Min Bae authored at least 66 papers between 2003 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2024
Key-point Guided Deformable Image Manipulation Using Diffusion Model.
CoRR, 2024

2023
Spatio-Temporal Quantitative Ultrasound Imaging for Breast Cancer Identification.
Proceedings of the 20th IEEE International Symposium on Biomedical Imaging, 2023

An 86.71875GHz RF transceiver for 57.8125Gb/s waveguide links with a CDR-assisted carrier synchronization loop in 28nm.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

2022
A 25.78125Gbps Bi-directional Transceiver with Framed-Pulsewidth Modulation (FPWM) for Extended Reach Optical Links in 28nm CMOS.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022

Sensor Geometry Generalization to Untrained Conditions in Quantitative Ultrasound Imaging.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2022, 2022

A 50Gb/s PAM-4 Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop.
Proceedings of the IEEE International Solid-State Circuits Conference, 2022

Bin-Specific Quantization in Spectral-Domain Convolutional Neural Network Accelerators.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022

2021
Robust Single-Probe Quantitative Ultrasonic Imaging System With a Target-Aware Deep Neural Network.
IEEE Trans. Biomed. Eng., 2021

A Neural Framework for Multi-variable Lesion Quantification Through B-Mode Style Transfer.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021

Learning-Based Attenuation Quantification in Abdominal Ultrasound.
Proceedings of the Medical Image Computing and Computer Assisted Intervention - MICCAI 2021 - 24th International Conference, Strasbourg, France, September 27, 2021

Link Bit-Error-Rate Requirement Analysis for Deep Neural Network Accelerators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Learned Representation For Multi-Variable Ultrasonic Lesion Quantification.
Proceedings of the 18th IEEE International Symposium on Biomedical Imaging, 2021

2020
3.125-to-28.125 Gb/s 4.72 mW/Gb/s Multi- Standard Parallel Transceiver Supporting Channel-Independent Operation in 40-nm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

A Framed-Pulsewidth Modulation Transceiver for High-Speed Broadband Communication Links.
IEEE Trans. Circuits Syst. I Regul. Pap., 2020

Bat-G2 Net: Bat-Inspired Graphical Visualization Network Guided by Radiated Ultrasonic Call.
IEEE Access, 2020

2019
A Fully Digital Semirotational Frequency Detection Algorithm for Bang-Bang CDRs.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Reference-Less Time-Division Duplex Transceiver IC for a Renal Denervation System.
IEEE J. Solid State Circuits, 2019

An Electronic Dispersion Compensation Transceiver for 10- and 28-Gb/s Directly Modulated Lasers-Based Optical Links.
IEEE J. Solid State Circuits, 2019

Bat-G net: Bat-inspired High-Resolution 3D Image Reconstruction using Ultrasonic Echoes.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

Design Considerations and Performance Trade-Offs for 56Gb/s Discrete Multi-Tone Electrical Link.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

A 4.8pJ/b 56Gb/s ADC-Based PAM-4 Wireline Receiver Data-Path with Cyclic Prefix in 14nm FinFET.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2019

2018
Session 6 overview: Ultra-high-speed wireline: Wireline subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 28Gb/s transceiver with chirp-managed EDC for DML systems.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A 20Gb/s transceiver with framed-pulsewidth modulation in 40nm CMOS.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

A Reference-Less Time-Division-Duplex Transceiver IC for a 5-Fr 6-Electrode Renal Denervation Catheter in 0.18-μm 70-V BCDMOS.
Proceedings of the 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2018

A 3.125-to-28.125 Gb/s multi-standard transceiver with a fully channel-independent operation in 40nm CMOS.
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018

2017
A 28-Gb/s Receiver With Self-contained Adaptive Equalization and Sampling Point Control Using Stochastic Sigma-Tracking Eye-Opening Monitor.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

A 103.125-Gb/s Reverse Gearbox IC in 40-nm CMOS for Supporting Legacy 10- and 40-GbE Links.
IEEE J. Solid State Circuits, 2017

A DC-to-12.5 Gb/s 9.76 mW/Gb/s All-Rate CDR With a Single LC VCO in 90 nm CMOS.
IEEE J. Solid State Circuits, 2017

A 2.048 Mb/s Full-Duplex Free-Space Optical Transceiver IC for a Real-Time In Vivo Brain-Computer Interface Mouse Experiment Under Social Interaction.
IEEE J. Solid State Circuits, 2017

5.2 An 8Ω 10W 91%-power-efficiency 0.0023%-THD+N multi-level Class-D audio amplifier with folded PWM.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

2016
A 4×10-Gb/s Referenceless-and-Masterless Phase Rotator-Based Parallel Transceiver in 90-nm CMOS.
IEEE Trans. Very Large Scale Integr. Syst., 2016

A Power-and-Area Efficient 10 × 10 Gb/s Bootstrap Transceiver in 40 nm CMOS for Referenceless and Lane-Independent Operation.
IEEE J. Solid State Circuits, 2016

Time-Divided Spread-Spectrum Code-Based 400 fW-Detectable Multichannel fNIRS IC for Portable Functional Brain Imaging.
IEEE J. Solid State Circuits, 2016

A 2.048 Mb/s full-duplex free-space optical transceiver IC for a real-time in vivo neurofeedback mouse experiment under social interaction.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016

Session 3 overview: Ultra-high-speed wireline transceivers and energy-efficient links.
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016

2015
An Automatic Loop Gain Control Algorithm for Bang-Bang CDRs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2015

A 0.87 W Transceiver IC for 100 Gigabit Ethernet in 40 nm CMOS.
IEEE J. Solid State Circuits, 2015

A 6 Gb/s Transceiver With a Nonlinear Electronic Dispersion Compensator for Directly Modulated Distributed-Feedback Lasers.
IEEE J. Solid State Circuits, 2015

A 100-GbE reverse gearbox IC in 40nm CMOS for supporting legacy 10- and 40-GbE standards.
Proceedings of the Symposium on VLSI Circuits, 2015

Session 22 overview: High-speed optical links: Wireline subcommittee.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

11.1 A time-divided spread-spectrum code based 15pW-detectable multi-channel fNIRS IC for portable functional brain imaging.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

F6: I/O design at 25Gb/s and beyond: Enabling the future communication infrastructure for big data.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A DC-to-12.5Gb/s 4.88mW/Gb/s all-rate CDR with a single LC VCO in 90nm CMOS.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

An on-chip stochastic sigma-tracking eye-opening monitor for BER-optimal adaptive equalization.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

A power-and-area efficient 10 × 10 Gb/s bootstrap transceiver in 40 nm CMOS for reference-less and lane-independent operation.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

A waveform-controlled energy-recycling monophasic repetitive transcranial magnetic stimulation device.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2015

2014
0.6-2.7-Gb/s Referenceless Parallel CDR With a Stochastic Dispersion-Tolerant Frequency Acquisition Technique.
IEEE Trans. Very Large Scale Integr. Syst., 2014

Phase-Rotator-Based All-Digital Phase-Locked Loop for a Spread-Spectrum Clock Generator.
IEEE Trans. Circuits Syst. II Express Briefs, 2014

A 10-Gb/s CDR With an Adaptive Optimum Loop-Bandwidth Calibrator for Serial Communication Links.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

BER-Aware ADC-Based 2×1 MIMO Blind Receiver for High Speed Broadband Communication Links.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

8.1 A 6Gb/s transceiver with a nonlinear electronic dispersion compensator for directly modulated distributed-feedback lasers.
Proceedings of the 2014 IEEE International Conference on Solid-State Circuits Conference, 2014

2013
Signal Processing for High-Speed Links.
Proceedings of the Handbook of Signal Processing Systems, 2013

Efficient Data Extraction Method for Near-Infrared Spectroscopy (NIRS) Systems With High Spatial and Temporal Resolution.
IEEE Trans. Biomed. Circuits Syst., 2013

2012
Application of Kalman Gain for Minimum Mean-Squared Phase-Error Bound in Bang-Bang CDRs.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

Analysis of a Frequency Acquisition Technique With a Stochastic Reference Clock Generator.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

An efficient data extraction method for high-temporal-and-spatial-resolution near infrared spectroscopy (NIRS) systems.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

FEC-based 4 Gb/s backplane transceiver in 90nm CMOS.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

2010
Signal Processing for High-Speed Links.
Proceedings of the Handbook of Signal Processing Systems, 2010

2009
Moving signals on and off chip.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

2008
Electronic dispersion compensation.
IEEE Signal Process. Mag., 2008

Fast Power Transient Management for OC-192 WDM Add/Drop Networks.
IEEE J. Solid State Circuits, 2008

A 10Gb/s MLSE-based Electronic-Dispersion-Compensation IC with Fast Power-Transient Management for WDM Add/Drop Networks.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

2006
An MLSE Receiver for Electronic Dispersion Compensation of OC-192 Fiber Links.
IEEE J. Solid State Circuits, 2006

2004
Linear Analog Front-End Circuits for Fiber-Optic Communication Systems
PhD thesis, 2004

2003
High bandwidth transimpedance amplifier design using active transmission lines.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003


  Loading...