Duane S. Boning

Orcid: 0000-0002-0417-445X

Affiliations:
  • Massachusetts Institute of Technology, Cambridge, USA


According to our database1, Duane S. Boning authored at least 67 papers between 1988 and 2023.

Collaborative distances:

Awards

IEEE Fellow

IEEE Fellow 2005, "For contributions to modeling and control in semiconductor manufacturing.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2023
Rare Event Probability Learning by Normalizing Flows.
CoRR, 2023

KirchhoffNet: A Circuit Bridging Message Passing and Continuous-Depth Models.
CoRR, 2023

Provable Routing Analysis of Programmable Photonics.
CoRR, 2023

Impact of Spatial Variations on Splitter-Tree-Based Integrated Optical Phased Arrays.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2023

Nominality Score Conditioned Time Series Anomaly Detection by Point/Sequential Reconstruction.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023

The Effects of Process Variations and BTI in Packaged FinFET Devices.
Proceedings of the IEEE International Reliability Physics Symposium, 2023

2022
Automatic Synthesis of Light Processing Functions for Programmable Photonics: Theory and Realization.
CoRR, 2022

FreDo: Frequency Domain-based Long-Term Time Series Forecasting.
CoRR, 2022

Variational Quantum Pulse Learning.
Proceedings of the IEEE International Conference on Quantum Computing and Engineering, 2022

NeurOLight: A Physics-Agnostic Neural Operator Enabling Parametric Photonic Device Simulation.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022

Learning from Multiple Annotator Noisy Labels via Sample-Wise Label Fusion.
Proceedings of the Computer Vision, 2022

2021
Variational Inference Formulation for a Model-Free Simulation of a Dynamical System with Unknown Parameters by a Recurrent Neural Network.
SIAM J. Sci. Comput., 2021

Adjusting for Autocorrelated Errors in Neural Networks for Time Series Regression and Forecasting.
CoRR, 2021

Process Variation-Aware Photonic Design.
Proceedings of the Optical Fiber Communications Conference and Exhibition, 2021

Adjusting for Autocorrelated Errors in Neural Networks for Time Series.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021

Robust Reinforcement Learning on State Observations with Learned Optimal Adversary.
Proceedings of the 9th International Conference on Learning Representations, 2021

2020
On 𝓁<sub>p</sub>-norm Robustness of Ensemble Stumps and Trees.
CoRR, 2020

Robust Deep Reinforcement Learning against Adversarial Perturbations on Observations.
CoRR, 2020

Multi-Stage Influence Function.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

Robust Deep Reinforcement Learning against Adversarial Perturbations on State Observations.
Proceedings of the Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, 2020

On Lp-norm Robustness of Ensemble Decision Stumps and Trees.
Proceedings of the 37th International Conference on Machine Learning, 2020

Towards Stable and Efficient Training of Verifiably Robust Neural Networks.
Proceedings of the 8th International Conference on Learning Representations, 2020

Wafer Map Defect Patterns Classification using Deep Selective Learning.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Towards Stable and Efficient Training of Verifiably Robust Neural Networks.
CoRR, 2019

Robustness Verification of Tree-based Models.
Proceedings of the Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, 2019

Robust Decision Trees Against Adversarial Examples.
Proceedings of the 36th International Conference on Machine Learning, 2019

The Limitations of Adversarial Training and the Blind-Spot Attack.
Proceedings of the 7th International Conference on Learning Representations, 2019

2018
Towards Fast Computation of Certified Robustness for ReLU Networks.
Proceedings of the 35th International Conference on Machine Learning, 2018

2017
Efficient Spatial Variation Characterization via Matrix Completion.
CoRR, 2017

Online and incremental machine learning approaches for IC yield improvement.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017

2016
Compact Model Parameter Extraction Using Bayesian Inference, Incomplete New Measurements, and Optimal Bias Selection.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016

2015
A 12b 250 MS/s Pipelined ADC With Virtual Ground Reference Buffers.
IEEE J. Solid State Circuits, 2015

15.6 12b 250MS/S pipelined ADC with virtual ground reference buffers.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

Statistical library characterization using belief propagation across multiple technology nodes.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015

2014
Efficient performance estimation with very small sample size via physical subspace projection and maximum a posteriori estimation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

Remembrance of Transistors Past: Compact Model Parameter Extraction Using Bayesian Inference and Incomplete New Measurements.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

2013
Efficient Spatial Pattern Analysis for Variation Decomposition Via Robust Sparse Regression.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013

Variability analysis of a 28nm near-threshold synchronous voltage converter.
Proceedings of the 20th IEEE International Conference on Electronics, 2013

A 12b 50MS/s 2.1mW SAR ADC with redundancy and digital background calibration.
Proceedings of the ESSCIRC 2013, 2013

Statistical modeling with the virtual source MOSFET model.
Proceedings of the Design, Automation and Test in Europe, 2013

An ultra-compact virtual source FET model for deeply-scaled devices: Parameter extraction and validation for standard cell libraries and digital circuits.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013

2012
Methodology for analysis of TSV stress induced transistor variation and circuit performance.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012

Spatial variation decomposition via sparse regression.
Proceedings of the IEEE International Conference on IC Design & Technology, 2012

2011
Reduction of Variation-Induced Energy Overhead in Multi-Core Processors.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

A simple array-based test structure for the AC variability characterization of MOSFETs.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011

Toward efficient spatial variation decomposition via sparse regression.
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011

Redundancy in SAR ADCs.
Proceedings of the 21st ACM Great Lakes Symposium on VLSI 2010, 2011

2010
All-Digital Circuits for Measurement of Spatial Variation in Digital Circuits.
IEEE J. Solid State Circuits, 2010

2009
Measurement and analysis of contact plug resistance variability.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2009

2008
Design for Manufacturability and Statistical Design - A Constructive Approach.
Series on integrated circuits and systems, Springer, ISBN: 978-0-387-30928-6, 2008

2007
Performance Variability of a 90GHz Static CML Frequency Divider in 65nm SOI CMOS.
Proceedings of the 2007 IEEE International Solid-State Circuits Conference, 2007

A Test-Structure to Efficiently Study Threshold-Voltage Variation in Large MOSFET Arrays.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

Variation.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007

2005
Interval-valued statistical modeling of oxide chemical-mechanical polishing.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005

2004
The care and feeding of your statistical static timer.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

2003
Test Structures for Circuit Yield Assessment and Modeling.
Proceedings of the 4th International Symposium on Quality of Electronic Design (ISQED 2003), 2003

2002
Test structures for delay variability.
Proceedings of the 8th ACM/IEEE International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, 2002

2000
A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance.
Proceedings of the 37th Conference on Design Automation, 2000

1997
A Matrix Math Library for Java.
Concurr. Pract. Exp., 1997

1994
Semiconductor wafer representation for TCAD.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994

1993
An integrated technology CAD system for process and device designers.
IEEE Trans. Very Large Scale Integr. Syst., 1993

An Integrated Technology CAD System for Process and Device Designers.
Proceedings of the Sixth International Conference on VLSI Design, 1993

1991
Semiconductor process design: representations, tools, and methodologies.
PhD thesis, 1991

The intertool profile interchange format: an object-oriented approach [semiconductor technology CAD/CAM].
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1991

Linking TCAD to EDA - Benefits and Issues.
Proceedings of the 28th Design Automation Conference, 1991

1988
A workstation approach to IC process and device design.
IEEE Des. Test, 1988


  Loading...