David J. Allstot

Orcid: 0000-0002-2296-7728

According to our database1, David J. Allstot authored at least 140 papers between 1993 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Awards

IEEE Fellow

IEEE Fellow 1992, "For contributions to the analysis and design of switched-capacitor and analog integrated circuits.".

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Compressed Sensing Σ-Δ Modulators and a Recovery Algorithm for Multi-Channel Wireless Bio-Signal Acquisition.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2023

A Chopper-Stabilized Switched-Capacitor Front-End for Peripheral Nervous System Recording.
IEEE Trans. Circuits Syst. I Regul. Pap., 2023

A Digitally Configurable Outphasing Switched-Capacitor-Based RF Transmitter.
Proceedings of the 66th IEEE International Midwest Symposium on Circuits and Systems, 2023

2022
Switched-Capacitor Circuits.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022

2021
I/Q-Sharing Switched-Capacitor Power Amplifier with Baseband Harmonic-Rejection and Wilkinson Combiner.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Compressed Sensing Σ-Δ Modulators and Recovery Algorithm for Multi-Channel Bio-Signal Acquisition.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Linearity Improvement Techniques for CMOS Switched-Capacitor Power Amplifiers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A Switched-Capacitor Closed-Loop Integration Sampling Front-End for Peripheral Nerve Recording.
Proceedings of the IEEE Biomedical Circuits and Systems Conference, BioCAS 2021, 2021

2020
Windowed Integration Sampling in Bio-Signal Front-End Design.
Proceedings of the 63rd IEEE International Midwest Symposium on Circuits and Systems, 2020

10.7 A 0.26mm<sup>2</sup> DPD-Less Quadrature Digital Transmitter With 30dB Pout Range in 65nm CMOS.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Transformer-Combining Digital PA with Efficiency Peaking at 0, -6, and -12 dB Backoff in 32nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

gm/ID-Based Frequency Compensation of CMOS Two-Stage Operational Amplifiers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

gm/ID Design Considerations for Subthreshold-Based CMOS Two-Stage Operational Amplifiers.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020

2019
Low-Voltage Tracking RC Frequency Compensation in Two-Stage Operational Amplifiers<sup>*</sup>.
Proceedings of the 62nd IEEE International Midwest Symposium on Circuits and Systems, 2019

A Two-Stage CMOS OTA with Load-Pole Cancellation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

2017
Frequency-Channelized Mismatch-Shaped Quadrature Data Converters for Carrier Aggregation in MU-MIMO LTE-A.
IEEE Trans. Circuits Syst. I Regul. Pap., 2017

2015
DAC mismatch shaping for quadrature sigma-delta data converters.
Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems, 2015

2014
Compressed Sensing Analog Front-End for Bio-Sensor Applications.
IEEE J. Solid State Circuits, 2014

2013
A Class-G Switched-Capacitor RF Power Amplifier.
IEEE J. Solid State Circuits, 2013

2012
Multi-rate Polyphase DSP and LMS Calibration Schemes for Oversampled ADCs.
J. Signal Process. Syst., 2012

Compressed Sensing System Considerations for ECG and EMG Wireless Biosensors.
IEEE Trans. Biomed. Circuits Syst., 2012

A 0.8-2 GHz Fully-Integrated QPLL-Timed Direct-RF-Sampling Bandpass ΣΔ ADC in 0.13 µm CMOS.
IEEE J. Solid State Circuits, 2012

Editorial.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012

Digital power amplifier: A new way to exploit the switched-capacitor circuit.
IEEE Commun. Mag., 2012

A 1.1µW 2.1µVRMS input noise chopper-stabilized amplifier for bio-medical applications.
Proceedings of the 2012 IEEE International Symposium on Circuits and Systems, 2012

2011
A 2.4-GHz Extended-Range Type-I SigmaDelta Fractional-N Synthesizer With 1.8-MHz Loop Bandwidth and -110-dBc/Hz Phase Noise.
IEEE Trans. Circuits Syst. II Express Briefs, 2011

A CMOS 1.6 GHz Dual-Loop PLL With Fourth-Harmonic Mixing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2011

A Switched-Capacitor RF Power Amplifier.
IEEE J. Solid State Circuits, 2011

A switched-capacitor power amplifier for EER/polar transmitters.
Proceedings of the IEEE International Solid-State Circuits Conference, 2011

Compressive sampling of EMG bio-signals.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Analog Chirp Fourier Transform for high-resolution real-time wideband RF spectrum Analysis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Compressed sensing reconstruction: Comparative study with applications to ECG bio-signals.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

Multi-rate polyphase DSP and LMS calibration schemes for oversampled data conversion systems.
Proceedings of the IEEE International Conference on Acoustics, 2011

Towards greener wireless transmission: Efficient power amplifier design.
Proceedings of the 2011 International Green Computing Conference and Workshops, 2011

2010
Linearizing CMOS Switching Power Amplifiers Using Supply Regulators.
IEEE Trans. Circuits Syst. II Express Briefs, 2010

An 11.1 mW 42 MS/s 10 b ADC With Two-Step Settling in 0.18 μ m CMOS.
IEEE J. Solid State Circuits, 2010

A Current Reuse Quadrature GPS Receiver in 0.13 μ m CMOS.
IEEE J. Solid State Circuits, 2010

U-shaped slow-wave transmission lines in 0.18μm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A Mode-I/Mode-III UWB LNA with programmable gain and 20 dB WLAN blocker rejection in 130nm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

A 1.6 mW 5.4 GHz transformer-feedback gm-boosted current-reuse LNA in 0.18/μm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010

2009
A two-stage sensing technique for dynamic spectrum access.
IEEE Trans. Wirel. Commun., 2009

Strong Injection Locking in Low- Q LC Oscillators: Modeling and Application in a Forwarded-Clock I/O Receiver.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

A Calibrated Phase and Amplitude Control System for a 1.9 GHz Phased-Array Transmitter Element.
IEEE Trans. Circuits Syst. I Regul. Pap., 2009

A Class-G Supply Modulator and Class-E PA in 130 nm CMOS.
IEEE J. Solid State Circuits, 2009

A Class-E PA With Pulse-Width and Pulse-Position Modulation in 65 nm CMOS.
IEEE J. Solid State Circuits, 2009

Integrated Quadrature Couplers and Their Application in Image-Reject Receivers.
IEEE J. Solid State Circuits, 2009

A 7.2mW quadrature GPS receiver in 0.13µm CMOS.
Proceedings of the IEEE International Solid-State Circuits Conference, 2009

Twisted Transformers for Low Coupling RF and Mixed Signal Applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2009), 2009

2008
Wideband CMOS Amplifier Design: Time-Domain Considerations.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Cascaded Complex ADCs With Adaptive Digital Calibration for I/Q Mismatch.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

Desensitized CMOS Low-Noise Amplifiers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2008

CMOS VCO and LNA Using Tuned-Input Tuned-Output Circuits.
IEEE J. Solid State Circuits, 2008

A 28.6dBm 65nm Class-E PA with Envelope Restoration by Pulse-Width and Pulse-Position Modulation.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

A 27Gb/s Forwarded-Clock I/O Receiver Using an Injection-Locked LC-DCO in 45nm CMOS.
Proceedings of the 2008 IEEE International Solid-State Circuits Conference, 2008

Hybrid modeling techniques for low OSR cascade continuous-time SigmaDelta modulators.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

A buffered charge pump with zero charge sharing.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2008), 2008

Twisted inductors for low coupling mixed-signal and RF applications.
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008

2007
Reflective-Type Phase Shifters for Multiple-Antenna Transceivers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2007

Monolithic Spiral Transformers: A Design Methodology.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Digital-Summing Feedforward Sigma-Delta Modulator and its Application to a Cascade ADC.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

A Parallel, Multi-Resolution Sensing Technique for Multiple Antenna Cognitive Radios.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2006
A matrix amplifier in 0.18-μm SOI CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

Analysis of the Bridged T-Coil Circuit Using the Extra-Element Theorem.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Parasitic-aware design and optimization of a CMOS RF power amplifier.
IEEE Trans. Circuits Syst. I Regul. Pap., 2006

A Calibrated Phase/Frequency Detector for Reference Spur Reduction in Charge-Pump PLLs.
IEEE Trans. Circuits Syst. II Express Briefs, 2006

Substrate Noise Coupling in SoC Design: Modeling, Avoidance, and Validation.
Proc. IEEE, 2006

Bandwidth Extension Techniques for CMOS Amplifiers.
IEEE J. Solid State Circuits, 2006

A fully-differential CMOS Clapp VCO for IEEE 802.11a applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

Analysis and design of lumped-element quadrature couplers with lossy passive elements.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A variable-offset phase detector for phased-array applications.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 2-GHz CMOS variable gain amplifier optimized for low noise.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A 2-GHz integrated CMOS reflective-type phase shifter with 675° control range.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

A delay generation technique for fast-locking frequency synthesizers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006

2005
Elitist nondominated sorting genetic algorithm based RF IC optimizer.
IEEE Trans. Circuits Syst. I Regul. Pap., 2005

A capacitor cross-coupled common-gate low-noise amplifier.
IEEE Trans. Circuits Syst. II Express Briefs, 2005

A four-antenna receiver in 90-nm CMOS for beamforming and spatial diversity.
IEEE J. Solid State Circuits, 2005

G<sub>m</sub>-boosted common-gate LNA and differential colpitts VCO/QVCO in 0.18-μm CMOS.
IEEE J. Solid State Circuits, 2005

Bridging Circuits and Electromagnetics in a Curriculum Aimed at Microelectronic Analog and Microwave Simulation and Design.
Proceedings of the 2005 International Conference on Microelectronics Systems Education, 2005

A full-range all-pass variable phase shifter for multiple antenna receivers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Design considerations for a 10 GHz CMOS transmit-receive switch.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A 360° extended range phase detector for type-I PLLs.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

Architectural issues in base-station frequency synthesizers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005

A 9-b 400 Msample/s pipelined analog-to digital converter in 90nm CMOS.
Proceedings of the 31st European Solid-State Circuits Conference, 2005

Phase-locked loop synthesis using hierarchical divide-and-conquer multi-optimization.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

An elitist distributed particle swarm algorithm for RF IC optimization.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005

2004
Digital calibration for monotonic pipelined A/D converters.
IEEE Trans. Instrum. Meas., 2004

Parasitic-aware RF circuit design and optimization.
IEEE Trans. Circuits Syst. I Regul. Pap., 2004

Compact model generation for on-chip transmission lines.
IEEE Trans. Circuits Syst. I Regul. Pap., 2004

A quad-band GSM-GPRS transmitter with digital auto-calibration.
IEEE J. Solid State Circuits, 2004

A 12.5 GHz RF matrix amplifier in 180nm SOI CMOS.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

RF circuit synthesis using particle swarm optimization.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A tunable transmission line phase shifter (TTPS).
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Post-optimization design centering for RF integrated circuits.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

A lateral-BJT-biased CMOS voltage-controlled oscillator.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004

Design considerations for anti-phase injected quadrature voltage controlled oscillators.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

A 6 GHz low-noise quadrature Colpitts VCO.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

Desensitized design of MOS low noise amplifiers by R<sub>n</sub> minimization.
Proceedings of the 2004 11th IEEE International Conference on Electronics, 2004

Measurement and modeling of noise parameters for desensitized low noise amplifiers.
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference, 2004

NSGA-based parasitic-aware optimization of a 5GHz low-noise VCO.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
A resonant pad for ESD protected narrowband CMOS RF applications.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

A monotonic digital calibration technique for pipelined data converters.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

On-chip inductor structures: a comparative study.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

A symmetric miniature 3D inductor.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Accurate compact model extraction for on-chip coplanar waveguides.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

A mixed-signal calibration technique for low-voltage CMOS 1.5-bit/stage pipeline data converters.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

An 8-bit, 1.8 V, 20 MSample/s analog-to-digital converter using low gain opamps.
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003

Parasitic-aware design and optimization of a fully integrated CMOS wideband amplifier.
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003

2002
A 0.5-8.5 GHz fully differential CMOS distributed amplifier.
IEEE J. Solid State Circuits, 2002

Class AB-D-G line driver for central office asymmetric digital subscriber line systems.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Matching considerations in I/Q A/D converter pairs.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

A 2 GHz CMOS even harmonic mixer for direct conversion receivers.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

Parasitic-aware synthesis of RF CMOS switching power amplifiers.
Proceedings of the 2002 International Symposium on Circuits and Systems, 2002

2001
Design and optimization of CMOS RF power amplifiers.
IEEE J. Solid State Circuits, 2001

2000
Correction to "A fully integrated 0.5 - 5.5-GHz CMOS distributed amplifier".
IEEE J. Solid State Circuits, 2000

A fully integrated 0.5-5.5 GHz CMOS distributed amplifier.
IEEE J. Solid State Circuits, 2000

A low-jitter 1.9-V CMOS PLL for UltraSPARC microprocessor applications.
IEEE J. Solid State Circuits, 2000

1999
Addition to "Monolithic transformers and their application in a differential CMOS RF low-noise amplifier".
IEEE J. Solid State Circuits, 1999

A multistage amplifier technique with embedded frequency compensation.
IEEE J. Solid State Circuits, 1999

Fully monolithic CMOS RF power amplifiers: recent advances.
IEEE Commun. Mag., 1999

1998
Noise considerations for mixed-signal RF IC transceivers.
Wirel. Networks, 1998

Monolithic transformers and their application in a differential CMOS RF low-noise amplifier.
IEEE J. Solid State Circuits, 1998

Computer-aided design considerations for mixed-signal coupling in RF integrated circuits.
IEEE J. Solid State Circuits, 1998

A multistage amplifier topology with embedded tracking compensation.
Proceedings of the IEEE 1998 Custom Integrated Circuits Conference, 1998

1997
CMOS current steering logic for low-voltage mixed-signal integrated circuits.
IEEE Trans. Very Large Scale Integr. Syst., 1997

A low-power backward equalizer for DFE read-channel applications.
IEEE J. Solid State Circuits, 1997

Charge-pump assisted low-power/low-voltage CMOS opamp design.
Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997

1996
Low-power CMOS continuous-time filters.
IEEE J. Solid State Circuits, 1996

Verification techniques for substrate coupling and their application to mixed-signal IC design.
IEEE J. Solid State Circuits, 1996

CMOS folding A/D converters with current-mode interpolation.
IEEE J. Solid State Circuits, 1996

1995
An 85 mW, 10 b, 40 Msample/s CMOS parallel-pipelined ADC.
IEEE J. Solid State Circuits, March, 1995

Substrate-aware mixed-signal macrocell placement in WRIGHT.
IEEE J. Solid State Circuits, March, 1995

A Macromodel Compaction Scheme for the Fast Stimulation of Large Linear Mesh Circuits.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

High-Speed CMOS Current-Mode Equalizers.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

Low-Power High-Speed Continuous-Time Sigma-Delta Modulators.
Proceedings of the 1995 IEEE International Symposium on Circuits and Systems, ISCAS 1995, Seattle, Washington, USA, April 30, 1995

SUBTRACT: a program for the efficient evaluation of substrate parasitics in integrated circuits.
Proceedings of the 1995 IEEE/ACM International Conference on Computer-Aided Design, 1995

1994
Low-voltage fully differential switched-current filters.
IEEE J. Solid State Circuits, March, 1994

Addressing substrate coupling in mixed-mode ICs: simulation and power distribution synthesis.
IEEE J. Solid State Circuits, March, 1994

Forum: From 100 Milliwatts/MIPS to 10 Microwatts/MIPS.
Proceedings of the 1994 IEEE International Symposium on Circuits and Systems, ISCAS 1994, London, England, UK, May 30, 1994

1993
A 3V-125 MHz CMOS Continuous-time Filter.
Proceedings of the 1993 IEEE International Symposium on Circuits and Systems, 1993

A unified approach to simulating electrical and thermal substrate coupling interactions in ICs.
Proceedings of the 1993 IEEE/ACM International Conference on Computer-Aided Design, 1993


  Loading...