Chun-Huat Heng

Orcid: 0000-0002-5696-8403

According to our database1, Chun-Huat Heng authored at least 96 papers between 2002 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
A 26.6-119.3-μW 101.9-dB SNR Direct Digitization Bio-Impedance Readout IC.
IEEE J. Solid State Circuits, September, 2023

A 107 pJ/b TX 260 pJ/b RX Ultralow-Power MEMS-Based Transceiver With Wake-Up in ISM-Bands for IoT Applications.
IEEE J. Solid State Circuits, May, 2023

2022
Low Complexity Binarized 2D-CNN Classifier for Wearable Edge AI Devices.
IEEE Trans. Biomed. Circuits Syst., 2022

An Energy Efficient ECG Ventricular Ectopic Beat Classifier Using Binarized CNN for Edge AI Devices.
IEEE Trans. Biomed. Circuits Syst., 2022

A 164- $\mu$ W 915-MHz Sub-Sampling Phase-Tracking Zero-IF Receiver With 5-Mb/s Data Rate for Short-Range Applications.
IEEE J. Solid State Circuits, 2022

2021
An 8-Channel 1.76-mW 4.84-mm<sup>2</sup> Electrical Impedance Tomography SoC With Direct IF Frequency Division Multiplexing.
IEEE Trans. Circuits Syst. II Express Briefs, 2021

A 310-nA Quiescent Current 3-fs-FoM Fully Integrated Capacitorless Time-Domain LDO With Event-Driven Charge Pump and Feedforward Transient Enhancement.
IEEE J. Solid State Circuits, 2021

A 2.3 GHz 2.8 mW Sampling ΔΣ PLL Achieving -110 dBc/Hz In-Band Phase Noise and 500 MHz FMCW Chirp.
IEEE J. Solid State Circuits, 2021

A 70-μW 1.35-mm<sup>2</sup> Wireless Sensor With 32 Channels of Resistive and Capacitive Sensors and Edge-Encoded PWM UWB Transceiver.
IEEE J. Solid State Circuits, 2021

28.5 A 0.6V/0.9V 26.6-to-119.3µW ΔΣ-Based Bio-Impedance Readout IC with 101.9dB SNR and <0.1Hz 1/f Corner.
Proceedings of the IEEE International Solid-State Circuits Conference, 2021

Resource and Energy Efficient Implementation of ECG Classifier Using Binarized CNN for Edge AI Devices.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

A 75.3 pJ/b Ultra-Low Power MEMS-Based FSK Transmitter in ISM-915 MHz Band for Pico-IoT Applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

2020
ΔΣ Fractional-N PLL With Hybrid IIR Noise Filtering.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

A Wireless Multi-Channel Capacitive Sensor System for Efficient Glove-Based Gesture Recognition With AI at the Edge.
IEEE Trans. Circuits Syst. II Express Briefs, 2020

A $7\times7\times2$ mm<sup>3</sup> 8.6- $\mu$ W 500-kb/s Transmitter With Robust Injection-Locking-Based Frequency-to-Amplitude Conversion Receiver Targeting for Implantable Applications.
IEEE J. Solid State Circuits, 2020

An 8.2- $\mu$ W 0.14-mm<sup>2</sup> 16-Channel CDMA-Like Capacitance-to-Digital Converter.
IEEE J. Solid State Circuits, 2020

23.2 A 70µW 1.19mm<sup>2</sup> Wireless Sensor with 32 Channels of Resistive and Capacitive Sensors and Edge-Encoded PWM UWB Transceiver.
Proceedings of the 2020 IEEE International Solid- State Circuits Conference, 2020

Energy Efficient Reduced Area Overhead Spin-Orbit Torque Non-Volatile SRAMs.
Proceedings of the 46th Annual Conference of the IEEE Industrial Electronics Society, 2020

2019
A Low-Noise Digital-to-Frequency Converter Based on Injection-Locked Ring Oscillator and Rotated Phase Selection for Fractional- $N$ Frequency Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2019

Editorial TVLSI Positioning - Continuing and Accelerating an Upward Trajectory.
IEEE Trans. Very Large Scale Integr. Syst., 2019

A 2.2-GHz 3.2-mW DTC-Free Sampling ΔΣ Fractional-N PLL With -110-dBc/Hz In-Band Phase Noise and -246-dB FoM and -83-dBc Reference Spur.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Low Power 12-bit 1-kS/s SAR ADC for Biomedical Signal Processing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019

A Wearable, Patient-Adaptive Freezing of Gait Detection System for Biofeedback Cueing in Parkinson's Disease.
IEEE Trans. Biomed. Circuits Syst., 2019

A 74-μW 11-Mb/s Wireless Vital Signs Monitoring SoC for Three-Lead ECG, Respiration Rate, and Body Temperature.
IEEE Trans. Biomed. Circuits Syst., 2019

A 13-Channel 1.53-mW 11.28-mm<sup>2</sup> Electrical Impedance Tomography SoC Based on Frequency Division Multiplexing for Lung Physiological Imaging.
IEEE Trans. Biomed. Circuits Syst., 2019

A Low-Power Compact IEEE 802.15.6 Compatible Human Body Communication Transceiver With Digital Sigma-Delta IIR Mask Shaping.
IEEE J. Solid State Circuits, 2019

A Dual-Loop Eight-Channel ECG Recording System With Fast Settling Mode for 12-Lead Applications.
IEEE J. Solid State Circuits, 2019

A 2.2-GHz 3.2-mW DTC-free Sampling ΔΣ Fractional-N PLL with -110 dBc/Hz In-band phase noise and -246dB FoM and -83dBc Reference Spur.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019

A 13-Channel 1.53-mW 11.28-mm<sup>2</sup> Electrical Impedance Tomography SoC Based on Frequency Division Multiplexing with 10× Throughput Reduction.
Proceedings of the IEEE International Solid- State Circuits Conference, 2019

Live Demonstration: A Pulmonary Conditions Monitor Based on Electrical Impedance Tomography Measurement.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019

Continuous ECG Monitoring Trial for Outpatient - Patient Receptiveness and Signal Accuracy.
Proceedings of the 41st Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2019

A 7×7×2mm<sup>3</sup> 8.6-μ 500-kb/s Transmitter with Robust Injection-Locking Based Frequency-to-Amplitude Conversion Receiver Targeting for Implantable Applications.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2019

2018
High Dynamic Performance Current-Steering DAC Design With Nested-Segment Structure.
IEEE Trans. Very Large Scale Integr. Syst., 2018

Energy- and Area-Efficient Spin-Orbit Torque Nonvolatile Flip-Flop for Power Gating Architecture.
IEEE Trans. Very Large Scale Integr. Syst., 2018

A 4×4 IR UWB Timed-Array Radar Based on 16-Channel Transmitter and Sampling Capacitor Reused Receiver.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A Sub-10 mV Power Converter With Fully Integrated Self-Start, MPPT, and ZCS Control for Thermoelectric Energy Harvesting.
IEEE Trans. Circuits Syst. I Regul. Pap., 2018

A 0.0129 mm<sup>2</sup> DPLL With 1.6~2.0 ps RMS Period Jitter and 0.25-to-2.7 GHz Tunable DCO Frequency Range in 55-nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

A 2.3 µW ECG-On-Chip for Wireless Wearable Sensors.
IEEE Trans. Circuits Syst. II Express Briefs, 2018

An 8.2 µW 0.14 MM<sup>2</sup>16-Channel CDMA-Like Period Modulation Capacitance-Tu-Diaital Converter with Reduced Data Throuahput.
Proceedings of the 2018 IEEE Symposium on VLSI Circuits, 2018

Area and Energy Efficient Diode Based Spin Orbit Torque Non-Volatile Latch Design.
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018

Session 4 overview: mm-Wave radios for 5G and beyond: Wireless subcommittee.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018

On-chip Data Compression Scheme for Lung EIT Signal Acquisition and Recovery.
Proceedings of the 23rd IEEE International Conference on Digital Signal Processing, 2018

A Dual Loop 8-Channel ECG Recording System with Fast Settling Mode.
Proceedings of the 44th IEEE European Solid State Circuits Conference, 2018

A 1.6-GHz 3.3-mW 1.5-MHz Wide Bandwidth ΔΣ Fractional-N PLL with a Single Path FIR Phase Noise Filtering.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

A Neural Network Accelerator With Integrated Feature Extraction Processor for a Freezing of Gait Detection System.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2018

2017
A 400 MHz Wireless Neural Signal Processing IC With 625× On-Chip Data Reduction and Reconfigurable BFSK/QPSK Transmitter Based on Sequential Injection Locking.
IEEE Trans. Biomed. Circuits Syst., 2017

A Hybrid Data Compression Scheme for Power Reduction in Wireless Sensors for IoT.
IEEE Trans. Biomed. Circuits Syst., 2017

A 370-pJ/b Multichannel BFSK/QPSK Transmitter Using Injection-Locked Fractional-N Synthesizer for Wireless Biotelemetry Devices.
IEEE J. Solid State Circuits, 2017

Session 24 overview: Wireless receivers and synthesizers.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017

Zero-bias true random number generator using LFSR-based scrambler.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

A mixed-signal adaptive filter for level-crossing analog-to-digital converter.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017

Real-Time Patient Adaptivity for Freezing of Gait Classification Through Semi-Supervised Neural Networks.
Proceedings of the 16th IEEE International Conference on Machine Learning and Applications, 2017

A 93μW 11Mbps wireless vital signs monitoring SoC with 3-lead ECG, bio-impedance, and body temperature.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2017

2016
A 3-Lead ECG-on-Chip with QRS Detection and Lossless Compression for Wireless Sensors.
IEEE Trans. Circuits Syst. II Express Briefs, 2016

Dynamic mapping method for static and dynamic performance improvement on current-steering digital-to-analog converter.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

Compact spin transfer torque non-volatile flip flop design for power-gating architecture.
Proceedings of the 2016 IEEE Asia Pacific Conference on Circuits and Systems, 2016

2015
A Fully Integrated Temperature-Independent Reconfigurable Acoustic Transmitter With Digital On-Chip Resistor Temperature Coefficient Calibration for Oil Drilling Application.
IEEE Trans. Circuits Syst. II Express Briefs, 2015

Session 9 overview: High-performance wireless: Wireless subcommittee.
Proceedings of the 2015 IEEE International Solid-State Circuits Conference, 2015

A sub GHz mostly digital BPSK IR UWB transceiver.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015

390-640MHz tunable oscillator based on phase interpolation with -120dBc/Hz in-band noise.
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015

2014
A 3.54 nJ/bit-RX, 0.671 nJ/bit-TX Burst Mode Super-Regenerative UWB Transceiver in 0.18-µm CMOS.
IEEE Trans. Circuits Syst. I Regul. Pap., 2014

A 2.4 GHz ULP Reconfigurable Asymmetric Transceiver for Single-Chip Wireless Neural Recording IC.
IEEE Trans. Biomed. Circuits Syst., 2014

A 13 pJ/bit 900 MHz QPSK/16-QAM Band Shaped Transmitter Based on Injection Locking and Digital PA for Biomedical Applications.
IEEE J. Solid State Circuits, 2014

A Sub-GHz Mostly Digital Impulse Radio UWB Transceiver for Wireless Body Sensor Networks.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014

CMOS UWB beamforming radar system.
Proceedings of the 21st IEEE International Conference on Electronics, Circuits and Systems, 2014

A 1.44mm<sup>2</sup> 4-channel UWB beamforming receiver with Q-compensation in 65nm CMOS.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

A 103 pJ/bit multi-channel reconfigurable GMSK/PSK/16-QAM transmitter with band-shaping.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2014

University LSI design contest.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014

2013
A Crystal-Less Temperature-Independent Reconfigurable Transmitter Targeted for High-Temperature Wireless Acoustic Telemetry Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2013

An Asymmetrical QPSK/OOK Transceiver SoC and 15: 1 JPEG Encoder IC for Multifunction Wireless Capsule Endoscopy.
IEEE J. Solid State Circuits, 2013

A 110pJ/b multichannel FSK/GMSK/QPSK/p/4-DQPSK transmitter with phase-interpolated dual-injection DLL-based synthesizer employing hybrid FIR.
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013

2012
Design of Efficient Class-E Power Amplifiers for Short-Distance Communications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2012

A Self-Powered Power Conditioning IC for Piezoelectric Energy Harvesting From Short-Duration Vibrations.
IEEE Trans. Circuits Syst. II Express Briefs, 2012

3-5 GHz 4-Channel UWB Beamforming Transmitter With 1° Scanning Resolution Through Calibrated Vernier Delay Line in 0.13-µm CMOS.
IEEE J. Solid State Circuits, 2012

A Pulse Shaping Technique for Spur Suppression in Injection-Locked Synthesizers.
IEEE J. Solid State Circuits, 2012

Low Power Digital Baseband for Impulse Radio Ultra-Wideband Transceiver.
Circuits Syst. Signal Process., 2012

3-to-5GHz 4-channel UWB beamforming transmitter with 1° phase resolution through calibrated vernier delay line in 0.13μm CMOS.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012

A 17pJ/bit 915MHz 8PSK/O-QPSK transmitter for high data rate biomedical applications.
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012

2011
Low-Power Ultrawideband Wireless Telemetry Transceiver for Medical Sensor Applications.
IEEE Trans. Biomed. Eng., 2011

An integrated beamformer for IR-UWB receiver in 0.18-µm CMOS.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011

2010
Performance-Based Optical Proximity Correction Methodology.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010

A Low SIR Impulse-UWB Transceiver Utilizing Chirp FSK in 0.18 μm CMOS.
IEEE J. Solid State Circuits, 2010

A 60-GHz OOK Receiver With an On-Chip Antenna in 90 nm CMOS.
IEEE J. Solid State Circuits, 2010

50-250 MHz ΔΣ DLL for Clock Synchronization.
IEEE J. Solid State Circuits, 2010

A 0.92/5.3nJ/b UWB impulse radio SoC for communication and localization.
Proceedings of the IEEE International Solid-State Circuits Conference, 2010

A 7.2mW 15Mbps ASK CMOS transmitter for ingestible capsule endoscopy.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010

2009
SPICE Behavioral Model of the Tunneling Field-Effect Transistor for Circuit Simulation.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

A CMOS Ultra Low-Power and Highly Efficient UWB-IR Transmitter for WPAN Applications.
IEEE Trans. Circuits Syst. II Express Briefs, 2009

2008
Low-Power CMOS RF front-end for non-coherent IR-UWB receiver.
Proceedings of the ESSCIRC 2008, 2008

Design-process integration for performance-based OPC framework.
Proceedings of the 45th Design Automation Conference, 2008

2007
A Multi-band CMOS Low Noise Amplifier for Multi-standard Wireless Receivers.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007

2005
A CMOS TV tuner/demodulator IC with digital image rejection.
IEEE J. Solid State Circuits, 2005

2004
A 2.4-GHz dual-mode 0.18-μm CMOS transceiver for Bluetooth and 802.11b.
IEEE J. Solid State Circuits, 2004

2003
A 1.8-Ghz CMOS Fractional-N Frequency Synthesizer With Randomized Multiphase VCO
PhD thesis, 2003

A 1.8-GHz CMOS fractional-N frequency synthesizer with randomized multiphase VCO.
IEEE J. Solid State Circuits, 2003

2002
A 1.8 GHz CMOS fractional-N frequency synthesizer with randomized multi-phase VCO.
Proceedings of the IEEE 2002 Custom Integrated Circuits Conference, 2002


  Loading...