Chia-Lin Yang

Orcid: 0000-0003-0091-5027

According to our database1, Chia-Lin Yang authored at least 116 papers between 1998 and 2023.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
Impact of Non-Volatile Memory Cells on Spiking Neural Network Annealing Machine With In-Situ Synapse Processing.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2023

Understanding Computer Architecture Sustainability.
Computer, September, 2023

HW/SW Codesign for Robust and Efficient Binarized SNNs by Capacitor Minimization.
CoRR, 2023

Reliable Brain-inspired AI Accelerators using Classical and Emerging Memories.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023

Tensor Movement Orchestration in Multi-GPU Training Systems.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023

Unified Agile Accuracy Assessment in Computing-in-Memory Neural Accelerators by Layerwise Dynamical Isometry.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023

Special Session - Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications.
Proceedings of the International Conference on Compilers, 2023

2022
DL-RSIM: A Reliability and Deployment Strategy Simulation Framework for ReRAM-based CNN Accelerators.
ACM Trans. Embed. Comput. Syst., 2022

A Forward Speculative Interference Attack.
Computer, 2022

Efficient and Atomic-Durable Persistent Memory through In-PM Hybrid Logging.
Proceedings of the 11th IEEE Non-Volatile Memory Systems and Applications Symposium, 2022

Efficient Bad Block Management with Cluster Similarity.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

RM-SSD: In-Storage Computing for Large-Scale Recommendation Inference.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

This is SPATEM! A Spatial-Temporal Optimization Framework for Efficient Inference on ReRAM-based CNN Accelerator.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

PUMP: Profiling-free Unified Memory Prefetcher for Large DNN Model Support.
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022

2021
ezGeno: an automatic model selection package for genomic data analysis.
Bioinform., 2021

Analyzing the Interplay Between Random Shuffling and Storage Devices for Efficient Machine Learning.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021

A Dense Tensor Accelerator with Data Exchange Mesh for DNN and Vision Workloads.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021

Robust Brain-Inspired Computing: On the Reliability of Spiking Neural Network Using Emerging Non-Volatile Synapses.
Proceedings of the IEEE International Reliability Physics Symposium, 2021

Binarized SNNs: Efficient and Error-Resilient Spiking Neural Networks through Binarization.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

Future Computing Platform Design: A Cross-Layer Design Approach.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

FlashEmbedding: storing embedding tables in SSD for large-scale recommender systems.
Proceedings of the APSys '21: 12th ACM SIGOPS Asia-Pacific Workshop on Systems, 2021

2020
Lattice: An ADC/DAC-less ReRAM-based Processing-In-Memory Architecture for Accelerating Deep Convolution Neural Networks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

2019
Fair Down to the Device: A GC-Aware Fair Scheduler for SSD.
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019

Sparse ReRAM engine: joint exploration of activation and weight sparsity in compressed neural networks.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Iotbench: A Benchmark Suite for Intelligent Internet of Things Edge Devices.
Proceedings of the 2019 IEEE International Conference on Image Processing, 2019

The Impact of Emerging Technologies on Architectures and System-level Management: Invited Paper.
Proceedings of the International Conference on Computer-Aided Design, 2019

2018
LIRS: Enabling efficient machine learning on NVM-based storage via a lightweight implementation of random shuffling.
CoRR, 2018

DL-RSIM: a simulation framework to enable reliable ReRAM-based accelerators for deep learning.
Proceedings of the International Conference on Computer-Aided Design, 2018

Active forwarding: eliminate IOMMU address translation for accelerator-rich architectures.
Proceedings of the 55th Annual Design Automation Conference, 2018

2017
A Hybrid DRAM/PCM Buffer Cache Architecture for Smartphones with QoS Consideration.
ACM Trans. Design Autom. Electr. Syst., 2017

Exploiting Write Heterogeneity of Morphable MLC/SLC SSDs in Datacenters with Service-Level Objectives.
IEEE Trans. Computers, 2017

Recap of the 2017 International Symposium on Low Power Electronics and Design (ISLPED).
IEEE Des. Test, 2017

Improving GPGPU Performance via Cache Locality Aware Thread Block Scheduling.
IEEE Comput. Archit. Lett., 2017

Analyzing OpenCL 2.0 workloads using a heterogeneous CPU-GPU simulator.
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017

Message from the general co-chairs.
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017

Leave the Cache Hierarchy Operation as It Is: A New Persistent Memory Accelerating Approach.
Proceedings of the 54th Annual Design Automation Conference, 2017

Enabling fast preemption via Dual-Kernel support on GPUs.
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017

2016
Improving Read Performance of NAND Flash SSDs by Exploiting Error Locality.
IEEE Trans. Computers, 2016

Latency sensitivity-based cache partitioning for heterogeneous multi-core architecture.
Proceedings of the 53rd Annual Design Automation Conference, 2016

MCSSim: A memory channel storage simulator.
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016

2015
System-Level Performance and Power Optimization for MPSoC: A Memory Access-Aware Approach.
ACM Trans. Embed. Comput. Syst., 2015

SECRET: A Selective Error Correction Framework for Refresh Energy Reduction in DRAMs.
ACM Trans. Archit. Code Optim., 2015

Thermal/performance characterization of CMPs with 3D-stacked DRAMs under synergistic voltage-frequency control of cores and DRAMs.
Proceedings of the 2015 Conference on research in adaptive and convergent systems, 2015

A buffer cache architecture for smartphones with hybrid DRAM/PCM memory.
Proceedings of the IEEE Non-Volatile Memory System and Applications Symposium, 2015

Improving DRAM latency with dynamic asymmetric subarray.
Proceedings of the 48th International Symposium on Microarchitecture, 2015

Fine-grained write scheduling for PCM performance improvement under write power budget.
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015

2014
Guest Editors' Introduction: Cloud Computing for Embedded Systems.
IEEE Des. Test, 2014

Full system simulation framework for integrated CPU/GPU architecture.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014

EC-Cache: Exploiting Error Locality to Optimize LDPC in NAND Flash-Based SSDs.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014

NVM duet: unified working memory and persistent store architecture.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014

2013
Thermal coupling aware task migration using neighboring core search for many-core systems.
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013

Exploring synergistic DVFS control of cores and DRAMs for thermal efficiency in CMPs with 3D-stacked DRAMs.
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013

DuraCache: a durable SSD cache using MLC NAND flash.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
A cycle-level SIMT-GPU simulation framework.
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2012

SECRET: Selective error correction for refresh energy reduction in DRAMs.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012

Distributed memory interface synthesis for Network-on-Chips with 3D-stacked DRAMs.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012

Optimizing NAND flash-based SSDs via retention relaxation.
Proceedings of the 10th USENIX conference on File and Storage Technologies, 2012

Age-based PCM wear leveling with nearly zero search cost.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012

Memory access aware power gating for MPSoCs.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012

2011
Thermal Modeling and Analysis for 3-D ICs With Integrated Microchannel Cooling.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011

TACLC: Timing-Aware Cache Leakage Control for Hard Real-Time Systems.
IEEE Trans. Computers, 2011

Power gating strategies on GPUs.
ACM Trans. Archit. Code Optim., 2011

A Study of a Heuristic Capacity Planning Algorithm for Weapon Production System.
Int. J. Electron. Bus. Manag., 2011

A SAT-based routing algorithm for cross-referencing biochips.
Proceedings of the 2011 International Workshop on System Level Interconnect Prediction, 2011

2010
Memory Latency Reduction via Thread Throttling.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010

Dynamic thermal management for networked embedded systems under harsh ambient temperature variation.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Parallelization and characterization of GARCH option pricing on GPUs.
Proceedings of the 2010 IEEE International Symposium on Workload Characterization, 2010

Hierarchical memory scheduling for multimedia MPSoCs.
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010

PM-COSYN: PE and memory co-synthesis for MPSoCs.
Proceedings of the Design, Automation and Test in Europe, 2010

2009
A Multi-core Architecture Based Parallel Framework for H.264/AVC Deblocking Filters.
J. Signal Process. Syst., 2009

Leakage-aware task scheduling for partially dynamically reconfigurable FPGAs.
ACM Trans. Design Autom. Electr. Syst., 2009

T-trees: A tree-based representation for temporal and three-dimensional floorplanning.
ACM Trans. Design Autom. Electr. Syst., 2009

A Progressive-ILP-Based Routing Algorithm for the Synthesis of Cross-Referencing Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009

An architectural co-synthesis algorithm for energy-aware Network-on-Chip design.
J. Syst. Archit., 2009

A Predictive Shutdown Technique for GPU Shader Processors.
IEEE Comput. Archit. Lett., 2009

PPT: joint performance/power/thermal management of DRAM memory for multi-core systems.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Thermal modeling for 3D-ICs with integrated microchannel cooling.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009

2008
Energy-Aware Flash Memory Management in Virtual Memory System.
IEEE Trans. Very Large Scale Integr. Syst., 2008

BioRoute: A Network-Flow-Based Routing Algorithm for the Synthesis of Digital Microfluidic Biochips.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

Obstacle-Avoiding Rectilinear Steiner Tree Construction Based on Spanning Graphs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008

A progressive-ILP based routing algorithm for cross-referencing biochips.
Proceedings of the 45th Design Automation Conference, 2008

2007
Temporal floorplanning using the three-dimensional transitive closure subGraph.
ACM Trans. Design Autom. Electr. Syst., 2007

Placement of defect-tolerant digital microfluidic biochips using the T-tree formulation.
ACM J. Emerg. Technol. Comput. Syst., 2007

An architectural co-synthesis algorithm for energy-aware network-on-chip design.
Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), 2007

Efficient obstacle-avoiding rectilinear steiner tree construction.
Proceedings of the 2007 International Symposium on Physical Design, 2007

Post-placement leakage optimization for partially dynamically reconfigurable FPGAs.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

3D Video Applications and Intelligent Video Surveillance Camera and its VLSI Design.
Proceedings of the 2007 IEEE International Conference on Multimedia and Expo, 2007

BioRoute: a network-flow based routing algorithm for digital microfluidic biochips.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007

Energy-efficient real-time task scheduling with task rejection.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

Cache leakage control mechanism for hard real-time systems.
Proceedings of the 2007 International Conference on Compilers, 2007

2006
A Space-Efficient Caching Mechanism for Flash-Memory Address Translation.
Proceedings of the Ninth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2006), 2006

An energy-efficient virtual memory system with flash memory as the secondary storage.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Hierarchical value cache encoding for off-chip data bus.
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006

Placement of digital microfluidic biochips using the t-tree formulation.
Proceedings of the 43rd Design Automation Conference, 2006

Branch Behavior Characterization for Multimedia Applications.
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006

2005
Software-Controlled Cache Architecture for Energy Efficiency.
IEEE Trans. Circuits Syst. Video Technol., 2005

Reconfigurable Platform for Content Science Research.
Proceedings of the 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2005), 2005

Joint exploration of architectural and physical design spaces with thermal consideration.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005

Cache Leakage Management for Multi-programming Workloads.
Proceedings of the Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, 2005

2004
Zero-aware asymmetric SRAM cell for reducing cache power in writing zero.
IEEE Trans. Very Large Scale Integr. Syst., 2004

Tolerating memory latency through push prefetching for pointer-intensive applications.
ACM Trans. Archit. Code Optim., 2004

Profit-driven uniprocessor scheduling with energy and timing constraints.
Proceedings of the 2004 ACM Symposium on Applied Computing (SAC), 2004

Workload Characterization of the H.264/AVC Decoder.
Proceedings of the Advances in Multimedia Information Processing - PCM 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30, 2004

HotSpot cache: joint temporal and spatial locality exploitation for i-cache energy reduction.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004

Temporal floorplanning using the T-tree formulation.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004

Multiprocessor Energy-Efficient Scheduling with Task Migration Considerations.
Proceedings of the 16th Euromicro Conference on Real-Time Systems (ECRTS 2004), 30 June, 2004

Value-Conscious Cache: Simple Technique for Reducing Cache Access Power.
Proceedings of the 2004 Design, 2004

Energy-efficient flash-memory storage systems with an interrupt-emulation mechanism.
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004

Temporal floorplanning using 3D-subTCG.
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004

2003
A power-aware SWDR cell for reducing cache write power.
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003

2002
Using Intel Streaming SIMD Extensions for 3D Geometry Processing.
Proceedings of the Advances in Multimedia Information Processing, 2002

A Programmable Memory Hierarchy for Prefetching Linked Data Structures.
Proceedings of the High Performance Computing, 4th International Symposium, 2002

2000
Exploiting Parallelism in Geometry Processing with General Purpose Processors and Floating-Point SIMD Instructions.
IEEE Trans. Computers, 2000

Push vs. pull: data movement for linked data structures.
Proceedings of the 14th international conference on Supercomputing, 2000

1999
Annotated Memory References: A Mechanism for Informed Cache Management.
Proceedings of the Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31, 1999

1998
Exploiting Instruction Level Parallelism in Geometry Processing for Three Dimensional Graphics Applications.
Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, 1998


  Loading...