Brandon Lucia

Orcid: 0000-0003-4130-1099

Affiliations:
  • Carnegie Mellon University


According to our database1, Brandon Lucia authored at least 75 papers between 2009 and 2024.

Collaborative distances:
  • Dijkstra number2 of four.
  • Erdős number3 of four.

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

Online presence:

On csauthors.net:

Bibliography

2024
The Internet of Batteryless Things.
Commun. ACM, March, 2024

Compiler-Based Memory Encryption for Machine Learning on Commodity Low-Power Devices.
Proceedings of the 33rd ACM SIGPLAN International Conference on Compiler Construction, 2024

EagleEye: Nanosatellite constellation design for high-coverage, high-resolution sensing.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024

2023
A Type System for Safe Intermittent Computing.
Proc. ACM Program. Lang., 2023

Pipestitch: An energy-minimal dataflow architecture with lightweight threads.
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023

MANIC: A $19\mu\mathrm{W}$ @ 4MHz, 256 MOPS/mW, RISC-V microcontroller with embedded MRAM main memory and vector-dataflow co-processor in 22nm bulk finFET CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023

A 10.33 μJ/encryption Homomorphic Encryption Engine in 28nm CMOS with 4096-degree 109-bit Polynomials for Resource-Constrained IoT Clients.
Proceedings of the 49th IEEE European Solid State Circuits Conference, 2023

Kodan: Addressing the Computational Bottleneck in Space.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023

2022
Camaroptera: A Long-range Image Sensor with Local Inference for Remote Sensing Applications.
ACM Trans. Embed. Comput. Syst., 2022

Guest Editorial: Special issue on battery-free computing.
IET Comput. Digit. Tech., 2022

An Architectural Charge Management Interface for Energy-Harvesting Systems.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

A programmable, energy-minimal dataflow compiler and architecture.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022

Improving Locality of Irregular Updates with Hardware Assisted Propagation Blocking.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022

Client-optimized algorithms and acceleration for encrypted compute offloading.
Proceedings of the ASPLOS '22: 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, 28 February 2022, 2022

2021
The Role of Edge Offload for Hardware - Accelerated Mobile Devices.
GetMobile Mob. Comput. Commun., 2021

Computational Nanosatellite Constellations: Opportunities and Challenges.
GetMobile Mob. Comput. Commun., 2021

Neat: Low-Complexity, Efficient On-Chip Cache Coherence.
CoRR, 2021

Practical Encrypted Computing for IoT Clients.
CoRR, 2021

Automatically enforcing fresh and consistent inputs in intermittent systems.
Proceedings of the PLDI '21: 42nd ACM SIGPLAN International Conference on Programming Language Design and Implementation, 2021

Understanding and Improving Failure Tolerant Training for Deep Learning Recommendation with Partial Recovery.
Proceedings of Machine Learning and Systems 2021, 2021

Snafu: An Ultra-Low-Power, Energy-Minimal CGRA-Generation Framework and Architecture.
Proceedings of the 48th ACM/IEEE Annual International Symposium on Computer Architecture, 2021

P-OPT: Practical Optimal Cache Replacement for Graph Analytics.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021

2020
Dynamic Task-based Intermittent Execution for Energy-harvesting Devices.
ACM Trans. Sens. Networks, 2020

Towards a formal foundation of intermittent computing.
Proc. ACM Program. Lang., 2020

Optimizing Graph Processing and Preprocessing with Hardware Assisted Propagation Blocking.
CoRR, 2020

CPR: Understanding and Improving Failure Tolerant Training for Deep Learning Recommendation with Partial Recovery.
CoRR, 2020

A Power-Aware Heterogeneous Architecture Scaling Model for Energy-Harvesting Computers.
IEEE Comput. Archit. Lett., 2020

Adaptive low-overhead scheduling for periodic and reactive intermittent execution.
Proceedings of the 41st ACM SIGPLAN International Conference on Programming Language Design and Implementation, 2020

Peacenik: Architecture Support for Not Failing under Fail-Stop Memory Consistency.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

Orbital Edge Computing: Nanosatellite Constellations as a New Class of Computer System.
Proceedings of the ASPLOS '20: Architectural Support for Programming Languages and Operating Systems, 2020

2019
I/O dependent idempotence bugs in intermittent systems.
Proc. ACM Program. Lang., 2019

Enhancing Stratospheric Weather Analyses and Forecasts by Deploying Sensors from a Weather Balloon.
CoRR, 2019

Orbital Edge Computing: Machine Inference in Space.
IEEE Comput. Archit. Lett., 2019

The Computing Landscape of the 21st Century.
Proceedings of the 20th International Workshop on Mobile Computing Systems and Applications, 2019

Camaroptera: a Batteryless Long-Range Remote Visual Sensing System.
Proceedings of the 7th International Workshop on Energy Harvesting & Energy-Neutral Sensing Systems, 2019

Transactional concurrency control for intermittent, energy-harvesting computing systems.
Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2019

Supporting peripherals in intermittent systems with just-in-time checkpoints.
Proceedings of the 40th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2019

MANIC: A Vector-Dataflow Architecture for Ultra-Low-Power Embedded Systems.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019

CoNDA: efficient cache coherence support for near-data accelerators.
Proceedings of the 46th International Symposium on Computer Architecture, 2019

Rethinking Support for Region Conflict Exceptions.
Proceedings of the 2019 IEEE International Parallel and Distributed Processing Symposium, 2019

Combining Data Duplication and Graph Reordering to Accelerate Parallel Graph Processing.
Proceedings of the 28th International Symposium on High-Performance Parallel and Distributed Computing, 2019

Intelligence Beyond the Edge: Inference on Intermittent Embedded Systems.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019

2018
Adaptive Dynamic Checkpointing for Safe Efficient Intermittent Computing.
Proceedings of the 13th USENIX Symposium on Operating Systems Design and Implementation, 2018

When is Graph Reordering an Optimization? Studying the Effect of Lightweight Graph Reordering Across Applications and Input Graphs.
Proceedings of the 2018 IEEE International Symposium on Workload Characterization, 2018

Termination checking and task decomposition for task-based intermittent programs.
Proceedings of the 27th International Conference on Compiler Construction, 2018

SOFRITAS: Serializable Ordering-Free Regions for Increasing Thread Atomicity Scalably.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

A Reconfigurable Energy Storage Architecture for Energy-harvesting Devices.
Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018

2017
Guest Editor Introduction PPoPP 2016, Special Issue 2 of 2.
ACM Trans. Parallel Comput., 2017

Alpaca: intermittent execution without checkpoints.
Proc. ACM Program. Lang., 2017

An Energy-Aware Debugger for Intermittently Powered Systems.
IEEE Micro, 2017

Flexible Support for Fast Parallel Commutative Updates.
CoRR, 2017

LazyPIM: Efficient Support for Cache Coherence in Processing-in-Memory Architectures.
CoRR, 2017

LazyPIM: An Efficient Cache Coherence Mechanism for Processing-in-Memory.
IEEE Comput. Archit. Lett., 2017

Intermittent Computing: Challenges and Opportunities.
Proceedings of the 2nd Summit on Advances in Programming Languages, 2017

POSTER: An Architecture and Programming Model for Accelerating Parallel Commutative Computations via Privatization.
Proceedings of the 22nd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2017

2016
Concurrency Debugging with Differential Schedule Projections.
ACM Trans. Softw. Eng. Methodol., 2016

Production-guided concurrency debugging.
Proceedings of the 21st ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2016

Chain: tasks and channels for reliable intermittent programs.
Proceedings of the 2016 ACM SIGPLAN International Conference on Object-Oriented Programming, 2016

An Energy-interference-free Hardware-Software Debugger for Intermittent Energy-harvesting Systems.
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016

2015
Concurrency debugging with differential schedule projections.
Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2015

A simpler, safer programming and execution model for intermittent systems.
Proceedings of the 36th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2015

Valor: efficient, software-only region conflict exceptions.
Proceedings of the 2015 ACM SIGPLAN International Conference on Object-Oriented Programming, 2015

Data provenance tracking for concurrent programs.
Proceedings of the 13th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2015

Energy-interference-free system and toolchain support for energy-harvesting devices.
Proceedings of the 2015 International Conference on Compilers, 2015

2014
Nonvolatile memory is a broken time machine.
Proceedings of the workshop on Memory Systems Performance and Correctness, 2014

2013
System Support for Concurrent Software Reliability.
PhD thesis, 2013

Cooperative empirical failure avoidance for multithreaded programs.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2013

2012
IFRit: interference-free regions for dynamic data-race detection.
Proceedings of the 27th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2012

Playing cupid: the IDE as a matchmaker for plug-ins.
Proceedings of the Second International Workshop on Developing Tools as Plug-Ins, 2012

2011
Isolating and understanding concurrency errors using reconstructed execution fragments.
Proceedings of the 32nd ACM SIGPLAN Conference on Programming Language Design and Implementation, 2011

2010
DMP: Deterministic Shared-Memory Multiprocessing.
IEEE Micro, 2010

Conflict exceptions: simplifying concurrent language semantics with precise hardware exceptions for data-races.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

ColorSafe: architectural support for debugging and dynamically avoiding multi-variable atomicity violations.
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010

2009
Atom-Aid: Detecting and Surviving Atomicity Violations.
IEEE Micro, 2009

Finding concurrency bugs with context-aware communication graphs.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009


  Loading...