Zhonghai Lu
Orcid: 0000-0003-0061-3475
According to our database1,
Zhonghai Lu
authored at least 227 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Communication Synchronization-Aware Arbitration Policy in NoC-Based DNN Accelerators.
IEEE Trans. Circuits Syst. II Express Briefs, October, 2024
Heterogeneous Reconfigurable Accelerator for Homomorphic Evaluation on Encrypted Data.
IEEE Access, 2024
Proceedings of the 37th IEEE International System-on-Chip Conference, 2024
2023
IEEE Des. Test, December, 2023
IEEE Trans. Computers, November, 2023
Comput. Biol. Medicine, August, 2023
Combining Self-Organizing Map with Reinforcement Learning for Multivariate Time Series Anomaly Detection.
Proceedings of the IEEE International Conference on Systems, Man, and Cybernetics, 2023
Proceedings of the IEEE International Conference on Prognostics and Health Management, 2023
FlexZNS: Building High-Performance ZNS SSDs with Size-Flexible and Parity-Protected Zones.
Proceedings of the 41st IEEE International Conference on Computer Design, 2023
2022
Base-2 Softmax Function: Suitability for Training and Efficient Hardware Implementation.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022
IEEE Trans. Circuits Syst. I Regul. Pap., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Sensors, 2022
Proceedings of the 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2022
Accelerating Non-Negative Matrix Factorization on Embedded FPGA with Hybrid Logarithmic Dot-Product Approximation.
Proceedings of the 15th IEEE International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2022
Enabling Energy-Efficient Inference for Self-Attention Mechanisms in Neural Networks.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
2021
IEEE Trans. Emerg. Top. Comput., 2021
Low-Complexity High-Precision Method and Architecture for Computing the Logarithm of Complex Numbers.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
Symmetric-Mapping LUT-Based Method and Architecture for Computing X<sup>Y</sup>-Like Functions.
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
DEPS: Exploiting a Dynamic Error Prechecking Scheme to Improve the Read Performance of SSD.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Guest Editorial: IEEE TC Special Issue On Communications for Many-core Processors and Accelerators.
IEEE Trans. Computers, 2021
Int. J. Parallel Program., 2021
Dynamic and Traffic-Aware Medium Access Control Mechanisms for Wireless NoC Architectures.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
A General Methodology and Architecture for Arbitrary Complex Number Nth Root Computation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021
Proceedings of the 36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
2020
IEEE Trans. Very Large Scale Integr. Syst., 2020
A Novel Approximation Methodology and Its Efficient VLSI Implementation for the Sigmoid Function.
IEEE Trans. Circuits Syst., 2020
IEEE Trans. Circuits Syst., 2020
BlockHammer: Improving Flash Reliability by Exploiting Process Variation Aware Proactive Failure Prediction.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Computers, 2020
Efficient Support of AXI4 Transaction Ordering Requirements in Many-Core Architecture.
IEEE Access, 2020
A Universal Approximation Method and Optimized Hardware Architectures for Arithmetic Functions Based on Stochastic Computing.
IEEE Access, 2020
Proceedings of the 2020 IEEE Computer Society Annual Symposium on VLSI, 2020
A CORDIC-Based Architecture with Adjustable Precision and Flexible Scalability to Implement Sigmoid and Tanh Functions.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
2019
IEEE Trans. Ind. Informatics, 2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
ACM Trans. Archit. Code Optim., 2019
ACM J. Emerg. Technol. Comput. Syst., 2019
IEEE Internet Things J., 2019
IEEE Access, 2019
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the 17th IEEE International Conference on Industrial Informatics, 2019
NR-MPA: Non-Recovery Compression Based Multi-Path Packet-Connected-Circuit Architecture of Convolution Neural Networks Accelerator.
Proceedings of the 37th IEEE International Conference on Computer Design, 2019
Proceedings of the 37th IEEE International Conference on Computer Design, 2019
Characterizing the Reliability and Threshold Voltage Shifting of 3D Charge Trap NAND Flash.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Competence Networks in the Era of CPS - Lessons Learnt in the ICES Cross-Disciplinary and Multi-domain Center.
Proceedings of the Cyber Physical Systems. Model-Based Design - 9th International Workshop, 2019
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Parallel Distributed Syst., 2018
Characterizing 3D Floating Gate NAND Flash: Observations, Analyses, and Implications.
ACM Trans. Storage, 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Proceedings of the 2018 IEEE International Conference on Networking, 2018
Proceedings of the IECON 2018, 2018
Proceedings of the 36th IEEE International Conference on Computer Design, 2018
iNPG: Accelerating Critical Section Access with In-network Packet Generation for NoC Based Many-Cores.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
ACM Trans. Model. Comput. Simul., 2017
ACM Trans. Embed. Comput. Syst., 2017
Marginal Performance: Formalizing and Quantifying Power Over/Under Provisioning in NoC DVFS.
IEEE Trans. Computers, 2017
Quality-of-service-aware adaptation scheme for multi-core protocol processing architecture.
Microprocess. Microsystems, 2017
Proceedings of the 2017 ACM SIGMETRICS / International Conference on Measurement and Modeling of Computer Systems, Urbana-Champaign, IL, USA, June 05, 2017
ALARM: A Location-Aware Redistribution Method to Improve 3D FG NAND Flash Reliability.
Proceedings of the 2017 International Conference on Networking, Architecture, and Storage, 2017
A Model-Based Approach to Dynamic Self-assessment for Automated Performance and Safety Awareness of Cyber-Physical Systems.
Proceedings of the Model-Based Safety and Assessment - 5th International Symposium, 2017
A Methodological Framework for Model-Based Self-management of Services and Components in Dependable Cyber-Physical Systems.
Proceedings of the Advances in Dependability Engineering of Complex Systems, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the 2017 International Conference on Compilers, 2017
SSS: self-aware system-on-chip using static-dynamic hybrid method (work-in-progress).
Proceedings of the 2017 International Conference on Compilers, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
Weighted Round Robin Configuration for Worst-Case Delay Optimization in Network-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2016
A 101.4 GOPS/W Reconfigurable and Scalable Control-Centric Embedded Processor for Domain-Specific Applications.
IEEE Trans. Circuits Syst. I Regul. Pap., 2016
ACM Trans. Archit. Code Optim., 2016
Integr., 2016
Service-Guaranteed Multi-port Packet Memory for Parallel Protocol Processing Architecture.
Proceedings of the 24th Euromicro International Conference on Parallel, 2016
Proceedings of the Tenth IEEE/ACM International Symposium on Networks-on-Chip, 2016
A 101.4 GOPS/W reconfigurable and scalable control-centric embedded processor for domain-specific applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
Opportunistic Competition Overhead Reduction for Expediting Critical Section in NoC Based CMPs.
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016
Elastic Management and QoS Provisioning Scheme for Adaptable Multi-core Protocol Processing Architecture.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
ACM Trans. Design Autom. Electr. Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Command-Triggered Microcode Execution for Distributed Shared Memory Based Multi-Core Network-on-Chips.
J. Softw., 2015
J. Syst. Archit., 2015
Performance Analysis of Homogeneous On-Chip Large-Scale Parallel Computing Architectures for Data-Parallel Applications.
J. Electr. Comput. Eng., 2015
Implementing MVC Decoding on Homogeneous NoCs: Circuit Switching or Wormhole Switching.
Proceedings of the 23rd Euromicro International Conference on Parallel, 2015
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Achieving Memory Access Equalization Via Round-Trip Routing Latency Prediction in 3D Many-Core NoCs.
Proceedings of the 2015 IEEE Computer Society Annual Symposium on VLSI, 2015
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
2014
A Fair and Maximal Allocator for Single-Cycle On-Chip Homogeneous Resource Allocation.
IEEE Trans. Very Large Scale Integr. Syst., 2014
Item-Level Indoor Localization With Passive UHF RFID Based on Tag Interaction Analysis.
IEEE Trans. Ind. Electron., 2014
Microprocess. Microsystems, 2014
Cooperative communication for efficient and scalable all-to-all barrier synchronization on mesh-based many-core NoCs.
IEICE Electron. Express, 2014
Proceedings of the 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip, 2014
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014
An analytical model for worst-case reorder buffer size of multi-path minimal routing NoCs.
Proceedings of the Eighth IEEE/ACM International Symposium on Networks-on-Chip, 2014
Performance and network power evaluation of tightly mixed SRAM NUCA for 3D Multi-core Network on Chips.
Proceedings of the IEEE International Symposium on Circuits and Systemss, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
Customizable coarse-grained energy-efficient reconfigurable packet processing architecture.
Proceedings of the IEEE 25th International Conference on Application-Specific Systems, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
Addressing Transient and Permanent Faults in NoC With Efficient Fault-Tolerant Deflection Router.
IEEE Trans. Very Large Scale Integr. Syst., 2013
Scalability Analysis of Memory Consistency Models in NoC-Based Distributed Shared Memory SoCs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Code division multiple access/pulse position modulation ultra-wideband radio frequency identification for Internet of Things: concept and analysis.
Int. J. Commun. Syst., 2013
ACM Comput. Surv., 2013
Reducing Virtual-to-Physical address translation overhead in Distributed Shared Memory based multi-core Network-on-Chips according to data property.
Comput. Electr. Eng., 2013
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013
Proceedings of the 2013 International Symposium on System on Chip, 2013
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
2012
Three-phase time-aware energy minimization with DVFS and unrolling for Chip Multiprocessors.
J. Syst. Archit., 2012
J. Comput., 2012
Int. J. Embed. Real Time Commun. Syst., 2012
Performance Analysis of Flow-Based Traffic Splitting Strategy on Cluster-Mesh Sensor Networks.
Int. J. Distributed Sens. Networks, 2012
Design and Optimization of a CDMA-Based Multi-Reader Passive UHF RFID System for Dense Scenarios.
IEICE Trans. Commun., 2012
IEICE Trans. Inf. Syst., 2012
IEICE Trans. Inf. Syst., 2012
IEICE Electron. Express, 2012
Comput. Electr. Eng., 2012
Proceedings of the 9th International Conference on Ubiquitous Intelligence and Computing and 9th International Conference on Autonomic and Trusted Computing, 2012
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012
System-level evaluation of sensor networks deployment strategies: Coverage, lifetime and cost.
Proceedings of the 8th International Wireless Communications and Mobile Computing Conference, 2012
Scalability analysis of release and sequential consistency models in NoC based multicore systems.
Proceedings of the 2012 International Symposium on System on Chip, 2012
Architecture Support and Comparison of Three Memory Consistency Models in NoC Based Systems.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Parallel probing: Dynamic and constant time setup procedure in circuit switching NoC.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Worst-case delay analysis of Variable Bit-Rate flows in network-on-chip with aggregate scheduling.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
Proceedings of the 15th International Conference on Compilers, 2012
2011
Microprocess. Microsystems, 2011
Cooperative communication based barrier synchronization in on-chip mesh architectures.
IEICE Electron. Express, 2011
Custom Microcoded Dynamic Memory Management for Distributed On-Chip Memory Organizations.
IEEE Embed. Syst. Lett., 2011
IEEE Des. Test Comput., 2011
A High-End Reconfigurable Computation Platform for Nuclear and Particle Physics Experiments.
Comput. Sci. Eng., 2011
Proceedings of the 2011 IEEE Wireless Communications and Networking Conference, 2011
Proceedings of the IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011
Proceedings of the IEEE 22nd International Symposium on Personal, 2011
Proceedings of the NOCS 2011, 2011
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2011
Output process of variable bit-rate flows in on-chip networks based on aggregate scheduling.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Realization and Scalability of Release and Protected Release Consistency Models in NoC Based Systems.
Proceedings of the 14th Euromicro Conference on Digital System Design, 2011
Jamming-resilient multi-radio multi-channel multihop wireless network for smart grid.
Proceedings of the 7th Cyber Security and Information Intelligence Research Workshop, 2011
Realization and performance comparison of sequential and weak memory consistency models in network-on-chip based multi-core systems.
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2011
2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
System-level exploration of mesh-based NoC architectures for multimedia applications.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010
Handling shared variable synchronization in multi-core Network-on-Chips with distributed memory.
Proceedings of the Annual IEEE International SoC Conference, SoCC 2010, 2010
Reducing FPGA Reconfiguration Time Overhead using Virtual Configurations.
Proceedings of the 5th International Workshop on Reconfigurable Communication-centric Systems on Chip, 2010
Run-Time Partitioning of Hybrid Distributed Shared Memory on Multi-core Network-on-Chips.
Proceedings of the Third International Symposium on Parallel Architectures, 2010
Proceedings of the Third International Workshop on Network on Chip Architectures, 2010
A reconfigurable fault-tolerant deflection routing algorithm based on reinforcement learning for network-on-chip.
Proceedings of the Third International Workshop on Network on Chip Architectures, 2010
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
Supporting Efficient Synchronization in Multi-core NoCs Using Dynamic Buffer Allocation Technique.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
Proceedings of the VLSI 2010 Annual Symposium - Selected papers, 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the 28th International Conference on Computer Design, 2010
Proceedings of the 19th International Conference on Computer Communications and Networks, 2010
Proceedings of the Global Communications Conference, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Supporting Distributed Shared Memory on multi-core Network-on-Chips using a dual microcoded controller.
Proceedings of the Design, Automation and Test in Europe, 2010
2009
SIGARCH Comput. Archit. News, 2009
IEICE Trans. Electron., 2009
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009
Proceedings of the 69th IEEE Vehicular Technology Conference, 2009
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009
Proceedings of the ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, 2009
Proceedings of the Third International Symposium on Networks-on-Chips, 2009
Analysis of worst-case delay bounds for best-effort communication in wormhole networks on chip.
Proceedings of the Third International Symposium on Networks-on-Chips, 2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Run-time Partial Reconfiguration speed investigation and architectural design space exploration.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Applying network calculus for performance analysis of self-similar traffic in on-chip networks.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
3-D memory organization and performance analysis for multi-processor network-on-chip architecture.
Proceedings of the IEEE International Conference on 3D System Integration, 2009
Physical mapping and performance study of a multi-clock 3-Dimensional Network-on-Chip mesh.
Proceedings of the IEEE International Conference on 3D System Integration, 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
ATCA-based computation platform for data acquisition and triggering in particle physics experiments.
Proceedings of the FPL 2008, 2008
System-on-an-FPGA Design for Real-time Particle Track Recognition and Reconstruction in Physics Experiments.
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
Proceedings of the 11th IEEE Workshop on Design & Diagnostics of Electronic Circuits & Systems (DDECS 2008), 2008
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2008
2007
PhD thesis, 2007
IET Comput. Digit. Tech., 2007
Using Synchronizers for Refining Synchronous Communication onto Hardware/Software Architectures.
Proceedings of the 18th IEEE International Workshop on Rapid System Prototyping (RSP 2007), 2007
Slot allocation using logical networks for TDM virtual-circuit configuration for network-on-chip.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Hardware/Software Co-design of a General-Purpose Computation Platform in Particle Physics.
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007
Proceedings of the Future Generation Communication and Networking, 2007
Proceedings of the 44th Design Automation Conference, 2007
2006
Proceedings of the 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2006
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006
Towards Performance-Oriented Pattern-Based Refinement of Synchronous Models onto NoC Communication.
Proceedings of the Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2006), 30 August, 2006
2005
Proceedings of the 5th IEEE International Workshop on System-on-Chip for Real-Time Applications (IWSOC 2005), 2005
Proceedings of the Forum on specification and Design Languages, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
Proceedings of the 2004 International Symposium on System-on-Chip, 2004
2003
Proceedings of the 2003 Design, 2003
2002
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002