Zhiying Wang
Affiliations:- National University of Defense Technology College of Computer, State Key Laboratory of High Performance Computing, Changsha, China
According to our database1,
Zhiying Wang
authored at least 237 papers
between 2003 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Symmetry, 2024
2021
ACM Trans. Archit. Code Optim., 2021
2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Application of U-Shaped Convolutional Neural Network Based on Attention Mechanism in Liver CT Image Segmentation.
Proceedings of 2020 International Conference on Medical Imaging and Computer-Aided Diagnosis, 2020
Coordinated Page Prefetch and Eviction for Memory Oversubscription Management in GPUs.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020
Proceedings of the IEEE International Conference on Image Processing, 2020
2019
IEEE Trans. Parallel Distributed Syst., 2019
IEEE Trans. Parallel Distributed Syst., 2019
IEEE Trans. Computers, 2019
Intra-Cluster Coalescing and Distributed-Block Scheduling to Reduce GPU NoC Pressure.
IEEE Trans. Computers, 2019
Symmetry, 2019
SIMD stealing: Architectural support for efficient data parallel execution on multicores.
Microprocess. Microsystems, 2019
IEICE Trans. Inf. Syst., 2019
Clust. Comput., 2019
IEEE Comput. Archit. Lett., 2019
MT-DMA: A DMA Controller Supporting Efficient Matrix Transposition for Digital Signal Processing.
IEEE Access, 2019
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019
An Efficient Direct Memory Access (DMA) Controller for Scientific Computing Accelerators.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Proceedings of the 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, 2019
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Improving the DRAM Access Efficiency for Matrix Multiplication on Multicore Accelerators.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Surf-Bless: A Confined-interference Routing for Energy-Efficient Communication in NoCs.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
2018
Practical, Provably Secure, and Black-Box Traceable CP-ABE for Cryptographic Cloud Storage.
Symmetry, 2018
A Novel Vertical Fragmentation Method for Privacy Protection Based on Entropy Minimization in a Relational Database.
Symmetry, 2018
RIM4J: An Architecture for Language-Supported Runtime Measurement against Malicious Bytecode in Cloud Computing.
Symmetry, 2018
Astrape: An Efficient Concurrent Cloud Attestation with Ciphertext-Policy Attribute-Based Encryption.
Symmetry, 2018
Parallel Comput., 2018
J. Circuits Syst. Comput., 2018
Innov. Syst. Softw. Eng., 2018
Int. J. Parallel Program., 2018
Compulsory traceable ciphertext-policy attribute-based encryption against privilege abuse in fog computing.
Future Gener. Comput. Syst., 2018
Frontiers Comput. Sci., 2018
IEEE Access, 2018
Proceedings of the Network and Parallel Computing, 2018
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018
Proceedings of the IEEE International Conference on Parallel & Distributed Processing with Applications, 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium, 2018
Proceedings of the 32nd International Conference on Supercomputing, 2018
Proceedings of the Algorithms and Architectures for Parallel Processing, 2018
Efficient Data Communication between CPU and GPU through Transparent Partial-Page Migration.
Proceedings of the 20th IEEE International Conference on High Performance Computing and Communications; 16th IEEE International Conference on Smart City; 4th IEEE International Conference on Data Science and Systems, 2018
Proceedings of the IEEE Frontiers in Education Conference, 2018
Proceedings of the 15th ACM International Conference on Computing Frontiers, 2018
2017
Handling Physical-Layer Deadlock Caused by Permanent Faults in Quasi-Delay-Insensitive Networks-on-Chip.
IEEE Trans. Very Large Scale Integr. Syst., 2017
ACM Trans. Archit. Code Optim., 2017
KSII Trans. Internet Inf. Syst., 2017
Understanding co-run performance on CPU-GPU integrated processors: observations, insights, directions.
Frontiers Comput. Sci., 2017
Expert Syst. Appl., 2017
Concurr. Comput. Pract. Exp., 2017
Proceedings of the Verification and Evaluation of Computer and Communication Systems, 2017
Proceedings of the 36th IEEE Symposium on Reliable Distributed Systems, 2017
Decoupling Security Services from IaaS Cloud Through Remote Virtual Machine Introspection.
Proceedings of the Security, Privacy, and Anonymity in Computation, Communication, and Storage, 2017
Proceedings of the 18th Annual Conference on Information Technology Education and the 6th Annual Conference on Research in Information Technology, 2017
A Novel Approach to Reduce Packet Latency Increase Caused by Power Gating in Network-on-Chip.
Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip, 2017
Unleashing the power of GPU for physically-based rendering via dynamic ray shuffling.
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017
Proceedings of the 2017 IEEE International Symposium on Parallel and Distributed Processing with Applications and 2017 IEEE International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), 2017
Proceedings of the 2017 IEEE International Parallel and Distributed Processing Symposium, 2017
Proceedings of the Data Science, 2017
Proceedings of the Cloud Computing and Security - Third International Conference, 2017
Proceedings of the 2017 International Conference on Advances in Computing, 2017
Proceedings of the Computing Frontiers Conference, 2017
Proceedings of the 2017 IEEE International Conference on Computer and Information Technology, 2017
2016
Microprocess. Microsystems, 2016
GPU平台上面向性能和功耗的分支优化 (Branch Divergence Optimization for Performance and Power Consumption on GPU Platform).
计算机科学, 2016
面向Cassandra数据库的高效动态数据管理机制 (Efficient and Dynamic Data Management System for Cassandra Database).
计算机科学, 2016
Optimization Strategies Oriented to Loop Characteristics in Software Thread Level Speculation Systems.
J. Comput. Sci. Technol., 2016
Neurocomputing, 2016
Int. J. Embed. Syst., 2016
Proceedings of the IEEE International Conference on Networking, 2016
Proceedings of the International Symposium on Integrated Circuits, 2016
A lightweight instruction-set simulator for teaching of dynamic instruction scheduling.
Proceedings of the 11th International Conference on Computer Science & Education, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the Algorithms and Architectures for Parallel Processing, 2016
A Hybrid Power-Performance Adjustment Strategy for Clustered Multi-threading Architecture.
Proceedings of the 18th IEEE International Conference on High Performance Computing and Communications; 14th IEEE International Conference on Smart City; 2nd IEEE International Conference on Data Science and Systems, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
IEEE Trans. Computers, 2015
Expert Syst. Appl., 2015
IEEE Comput. Archit. Lett., 2015
Proceedings of the 10th International Design & Test Symposium, 2015
Proceedings of the Algorithms and Architectures for Parallel Processing, 2015
Proceedings of the Algorithms and Architectures for Parallel Processing, 2015
Proceedings of the 15th Workshop on Hot Topics in Operating Systems, 2015
Deadlock Recovery in Asynchronous Networks on Chip in the Presence of Transient Faults.
Proceedings of the 21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
IEEE Trans. Parallel Distributed Syst., 2014
Integrated Coherence Prediction: Towards Efficient Cache Coherence on NoC-Based Multicore Architectures.
ACM Trans. Design Autom. Electr. Syst., 2014
IEEE Trans. Computers, 2014
Protecting QDI interconnects from transient faults using delay-insensitive redundant check codes.
Microprocess. Microsystems, 2014
A comprehensive comparison between virtual cut-through and wormhole routers for cache coherent Network on-Chips.
IEICE Electron. Express, 2014
Comput. J., 2014
Sci. China Inf. Sci., 2014
Proceedings of the 2014 USENIX Annual Technical Conference, 2014
Proceedings of the 13th IEEE International Conference on Trust, 2014
PPEP: Online Performance, Power, and Energy Prediction Framework and DVFS Space Exploration.
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the Languages and Compilers for Parallel Computing, 2014
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the 2nd International Workshop on Many-core Embedded Systems, 2014
Proceedings of the Algorithms and Architectures for Parallel Processing, 2014
Proceedings of the 20th IEEE International Symposium on Asynchronous Circuits and Systems, 2014
Dynamic Power Estimation with Hardware Performance Counters Support on Multi-core Platform.
Proceedings of the Advanced Computer Architecture - 10th Annual Conference, 2014
Proceedings of the 14th IEEE International Conference on Computer and Information Technology, 2014
2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Adaptive communication mechanism for accelerating MPI functions in NoC-based multicore processors.
ACM Trans. Archit. Code Optim., 2013
Efficient multimedia coprocessor with enhanced SIMD engines for exploiting ILP and DLP.
Parallel Comput., 2013
Microprocess. Microsystems, 2013
Efficient revocation in ciphertext-policy attribute-based encryption based cryptographic cloud storage.
J. Zhejiang Univ. Sci. C, 2013
IEICE Trans. Inf. Syst., 2013
An accurate and highly-efficient performance evaluation approach based on queuing model for on-chip network.
Sci. China Inf. Sci., 2013
Proceedings of the 42nd International Conference on Parallel Processing, 2013
Proceedings of the 10th IEEE International Conference on High Performance Computing and Communications & 2013 IEEE International Conference on Embedded and Ubiquitous Computing, 2013
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
2012
J. Supercomput., 2012
IEEE Trans. Computers, 2012
Trusted Bytecode Virtual Machine Module: A Novel Method for Dynamic Remote Attestation in Cloud Computing.
Int. J. Comput. Intell. Syst., 2012
Keys Distributing Optimization of CP-ABE Based Access Control in Cryptographic Cloud Storage.
IEICE Trans. Inf. Syst., 2012
Backlog Bounds Analysis of Different On-chip Cache Coherence Policies: A Network Calculus-Based Approch.
Proceedings of the 9th International Conference on Ubiquitous Intelligence and Computing and 9th International Conference on Autonomic and Trusted Computing, 2012
Proceedings of the 11th IEEE International Conference on Trust, 2012
Proceedings of the 11th IEEE International Conference on Trust, 2012
Proceedings of the Seventh International Conference on Digital Information Management, 2012
Whole packet forwarding: Efficient design of fully adaptive routing algorithms for networks-on-chip.
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
Attributes Union in CP-ABE Algorithm for Large Universe Cryptographic Access Control.
Proceedings of the 2012 Second International Conference on Cloud and Green Computing, 2012
Proceedings of the 2012 Second International Conference on Cloud and Green Computing, 2012
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012
2011
Microprocess. Microsystems, 2011
J. Comput. Sci. Technol., 2011
Proceedings of the IEEE 10th International Conference on Trust, 2011
An Advanced and Effective Literature Search Algorithm Based on Analytic Hierarchy Process.
Proceedings of the IEEE 10th International Conference on Trust, 2011
SWHash: An Efficient Data Integrity Verification Scheme Appropriate for USB Flash Disk.
Proceedings of the IEEE 10th International Conference on Trust, 2011
Proceedings of the Fourth International Symposium on Parallel Architectures, 2011
DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Proceedings of the Fifth International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, 2011
Proceedings of the 17th IEEE International Conference on Parallel and Distributed Systems, 2011
A novel file-level continuous data protection mechanism oriented service application.
Proceedings of the ICIMCS 2011, 2011
Proceedings of the ICIMCS 2011, 2011
A novel shared-buffer router for network-on-chip based on Hierarchical Bit-line Buffer.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Proceedings of the UKSim 5th European Symposium on Computer Modeling and Simulation, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Seventh International Conference on Computational Intelligence and Security, 2011
Proceedings of the Availability, Reliability and Security for Business, Enterprise and Health Information Systems, 2011
2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
DSS: Applying asynchronous techniques to architectures exploiting ILP at compile time.
Proceedings of the 28th International Conference on Computer Design, 2010
Proceedings of the Algorithms and Architectures for Parallel Processing, 2010
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010
Proceedings of the Global Communications Conference, 2010
A Dynamic Binary Translation Framework Based on Page Fault Mechanism in Linux Kernel.
Proceedings of the 10th IEEE International Conference on Computer and Information Technology, 2010
2009
IET Comput. Digit. Tech., 2009
A New Description Language for Data-Driven Asynchronous Circuits and its Design Flow.
Proceedings of the 2009 Pacific-Asia Conference on Circuits, Communications and Systems, 2009
Implementation of OpenVG Path and Paint Algorithms on Synchronous Data Triggered Architecture with Optimization.
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2009
Proceedings of the 15th IEEE International Conference on Parallel and Distributed Systems, 2009
An accurate and efficient performance analysis approach based on queuing model for network on chip.
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Fast, On-Demand Software Deployment with Lightweight, Independent Virtual Disk Images.
Proceedings of the Eighth International Conference on Grid and Cooperative Computing, 2009
Dynamically utilizing computation accelerators for extensible processors in a software approach.
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Proceedings of the Ninth IEEE International Conference on Computer and Information Technology, 2009
2008
A New Approach to Single Event Effect Tolerance Based on Asynchronous Circuit Technique.
J. Electron. Test., 2008
Proceedings of the Ninth International Conference on Web-Age Information Management, 2008
Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), 2008
Proceedings of the 2008 ACM Symposium on Applied Computing (SAC), 2008
Transient Fault Tolerance on Chip Multiprocessor Based on Dual and Triple Core Redundancy.
Proceedings of the 14th IEEE Pacific Rim International Symposium on Dependable Computing, 2008
A New CORDIC Algorithm and Software Implementation Based on Synchronized Data Triggering Architecture.
Proceedings of the 2008 International Conference on Multimedia and Ubiquitous Engineering (MUE 2008), 2008
Proceedings of the 9th International Conference for Young Computer Scientists, 2008
Proceedings of the 9th International Conference for Young Computer Scientists, 2008
Transient Fault Recovery on Chip Multiprocessor based on Dual Core Redundancy and Context Saving.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008
Low-Level Component for OpenGL ES Oriented Heterogeneous Architecture with Optimization.
Proceedings of the 9th International Conference for Young Computer Scientists, 2008
Proceedings of the 9th International Conference for Young Computer Scientists, 2008
Proceedings of the 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), 2008
Proceedings of the 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), 2008
A Framework to Evaluate the Trade-off among AVF Performance and Area of Soft Error Tolerant Microprocessors.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
Customizing computation accelerators for extensible multi-issue processors with effective optimization techniques.
Proceedings of the 45th Design Automation Conference, 2008
A dynamically-allocated virtual channel architecture with congestion awareness for on-chip routers.
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the Second International Conference on Complex, 2008
Proceedings of the Second International Conference on Complex, 2008
2007
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2007
Proceedings of the Multimedia Content Analysis and Mining, International Workshop, 2007
Instruction Selection for Subword Level Parallelism Optimizations for Application Specific Instruction Processors.
Proceedings of the Parallel and Distributed Processing and Applications, 2007
Proceedings of the IEEE International Conference on Intelligence and Security Informatics, 2007
Proceedings of the 2007 International Conference on Parallel Processing Workshops (ICPP Workshops 2007), 2007
Proceedings of the Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27, 2007
An Interest-Based Intelligent Link Selection Algorithm in Unstructured P2P Environment.
Proceedings of the Algorithms and Architectures for Parallel Processing, 2007
Proceedings of the High Performance Computing and Communications, 2007
A New Architecture For Multiple-Precision Floating-Point Multiply-Add Fused Unit Design.
Proceedings of the 18th IEEE Symposium on Computer Arithmetic (ARITH-18 2007), 2007
Proceedings of the Advanced Parallel Processing Technologies, 7th International Symposium, 2007
Design of a Low-Power Embedded Processor Architecture Using Asynchronous Function Units.
Proceedings of the Advances in Computer Systems Architecture, 2007
A Low-Power Application Specific Instruction Set Processor Using Asynchronous Function Units.
Proceedings of the Seventh International Conference on Computer and Information Technology (CIT 2007), 2007
2006
J. Comput. Res. Dev., 2006
Proceedings of the IFIP VLSI-SoC 2006, 2006
Proceedings of the Information Security Practice and Experience, 2006
Proceedings of the Intelligence and Security Informatics, 2006
Proceedings of the Neural Information Processing, 13th International Conference, 2006
Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, 2006
Proceedings of the High Performance Computing and Communications, 2006
Proceedings of the High Performance Computing and Communications, 2006
Modified Triple Modular Redundancy Structure based on Asynchronous Circuit Technique.
Proceedings of the 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 2006
A Dual-core Embedded System-on-Chip Architecture for Multimedia Signal Processing Applications.
Proceedings of the 2006 International Conference on Embedded Systems & Applications, 2006
Improving the Fault Tolerance of a Computer System with Space-Time Triple Modular Redundancy.
Proceedings of the 2006 International Conference on Embedded Systems & Applications, 2006
Designing Power Analysis Resistant and High Performance Block Cipher Coprocessor Using WDDL and Wave-Pipelining.
Proceedings of the Information Security and Cryptology, Second SKLOIS Conference, 2006
Proceedings of the Autonomic and Trusted Computing, Third International Conference, 2006
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006
Proceedings of the Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, 2006
Proceedings of the Sixth International Conference on Computer and Information Technology (CIT 2006), 2006
Two New Space-Time Triple Modular Redundancy Techniques for Improving Fault Tolerance of Computer Systems.
Proceedings of the Sixth International Conference on Computer and Information Technology (CIT 2006), 2006
2005
Design and Implementation a TPM Chip SUP320 by SOC.
Proceedings of the Security and Privacy in the Age of Ubiquitous Computing, IFIP TC11 20th International Conference on Information Security (SEC 2005), May 30, 2005
Research on Risk Probability Estimating Using Fuzzy Clustering for Dynamic Security Assessment.
Proceedings of the Rough Sets, 2005
Proceedings of the 11th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2005), 2005
Proceedings of the Information Security Practice and Experience, 2005
Proceedings of the 11th International Conference on Parallel and Distributed Systems, 2005
Proceedings of the Networking, 2005
Proceedings of the Pattern Recognition and Image Analysis, Second Iberian Conference, 2005
Proceedings of the Grid and Cooperative Computing - GCC 2005, 4th International Conference, Beijing, China, November 30, 2005
Proceedings of the Ninth International Conference on Computer Supported Cooperative Work in Design, 2005
A Fast Motion Estimation Algorithm Based on Diamond and Simplified Square Search Patterns.
Proceedings of the Progress in Pattern Recognition, 2005
Proceedings of the 4th Annual ACIS International Conference on Computer and Information Science (ICIS 2005), 2005
2004
Applying multiple criteria decision making to improve security architecture development.
Proceedings of the 3rd International Conference on Information Security, 2004
Proceedings of the Embedded Software and Systems, First International Conference, 2004
Proceedings of the 7th Eurographics Multimedia Workshop 2004, 2004
Improving Security Architecture Development Based on Multiple Criteria Decision Making.
Proceedings of the Content Computing, Advanced Workshop on Content Computing, 2004
Proceedings of the Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, 2004
2003
Proceedings of the Advanced Parallel Programming Technologies, 5th International Workshop, 2003