Yunji Chen
Orcid: 0000-0003-3925-5185
According to our database1,
Yunji Chen
authored at least 149 papers
between 2004 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
InverseCoder: Unleashing the Power of Instruction-Tuned Code LLMs with Inverse-Instruct.
CoRR, 2024
Adversarial Contrastive Decoding: Boosting Safety Alignment of Large Language Models via Opposite Prompt Optimization.
CoRR, 2024
CoRR, 2024
Proceedings of the Thirty-Third International Joint Conference on Artificial Intelligence, 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
Introducing Compiler Semantics into Large Language Models as Programming Language Translators: A Case Study of C to x86 Assembly.
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2024, 2024
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024
OCEAN-MBRL: Offline Conservative Exploration for Model-Based Offline Reinforcement Learning.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024
Hypothesis, Verification, and Induction: Grounding Large Language Models with Self-Driven Skill Learning.
Proceedings of the Thirty-Eighth AAAI Conference on Artificial Intelligence, 2024
2023
Sci. China Inf. Sci., November, 2023
Neurocomputing, September, 2023
Self-driven Grounding: Large Language Model Agents with Automatical Language-aligned Skill Learning.
CoRR, 2023
BayLing: Bridging Cross-lingual Alignment and Instruction Following through Interactive Translation for Large Language Models.
CoRR, 2023
CoRR, 2023
Unlearnable Examples for Diffusion Models: Protect Data from Unauthorized Exploitation.
CoRR, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the International Conference on Machine Learning, 2023
Proceedings of the Eleventh International Conference on Learning Representations, 2023
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023
2022
Cambricon-G: A Polyvalent Energy-Efficient Accelerator for Dynamic Graph Neural Networks.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Trans. Computers, 2022
Tetris: A Heuristic Static Memory Management Framework for Uniform Memory Multicore Neural Network Accelerators.
J. Comput. Sci. Technol., 2022
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
Proceedings of the International Conference on Machine Learning, 2022
Proceedings of the Tenth International Conference on Learning Representations, 2022
2021
Int. J. Comput. Vis., 2021
CoRR, 2021
Space-address decoupled scratchpad memory management for neural network accelerators.
Concurr. Comput. Pract. Exp., 2021
ScaleCert: Scalable Certified Defense against Adversarial Patches with Sparse Superficial Layers.
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021
Proceedings of the Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, 2021
Proceedings of the Thirtieth International Joint Conference on Artificial Intelligence, 2021
2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Computers, 2020
Addressing Irregularity in Sparse Neural Networks Through a Cooperative Software/Hardware Approach.
IEEE Trans. Computers, 2020
Proceedings of the 38th IEEE International Conference on Computer Design, 2020
Proceedings of the 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2020
Proceedings of the Thirty-Fourth AAAI Conference on Artificial Intelligence, 2020
2019
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2019
Guest Editors' Introduction: Special Issue on Big Data Systems on Emerging Architectures.
IEEE Trans. Big Data, 2019
CoRR, 2019
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Proceedings of the Thirty-Third AAAI Conference on Artificial Intelligence, 2019
2018
Cambricon-S: Addressing Irregularity in Sparse Neural Networks through A Cooperative Software/Hardware Approach.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
2017
IEEE Trans. Parallel Distributed Syst., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
TuNao: A High-Performance and Energy-Efficient Reconfigurable Accelerator for Graph Processing.
Proceedings of the 17th IEEE/ACM International Symposium on Cluster, 2017
2016
IEEE Trans. Parallel Distributed Syst., 2016
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
Commun. ACM, 2016
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
2015
FreeRider: Non-Local Adaptive Network-on-Chip Routing with Packet-Carried Propagation of Congestion Information.
IEEE Trans. Parallel Distributed Syst., 2015
ACM Trans. Comput. Syst., 2015
Leveraging the Error Resilience of Neural Networks for Designing Highly Energy Efficient Accelerators.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
IEEE Trans. Computers, 2015
ACM Trans. Archit. Code Optim., 2015
Neuromorphic accelerators: a comparison between neuroscience and machine-learning approaches.
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Proceedings of the 37th IEEE/ACM International Conference on Software Engineering, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Proceedings of the 13th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2015
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015
2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Performance Portability Across Heterogeneous SoCs Using a Generalized Library-Based Approach.
ACM Trans. Archit. Code Optim., 2014
IEEE J. Solid State Circuits, 2014
J. Comput. Sci. Technol., 2014
J. Comput. Sci. Technol., 2014
A General-Purpose Many-Accelerator Architecture Based on Dataflow Graph Clustering of Applications.
J. Comput. Sci. Technol., 2014
Sci. China Inf. Sci., 2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014
Co-processing with dynamic reconfiguration on heterogeneous MPSoC: practices and design tradeoffs (abstract only).
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014
Proceedings of the 2014 International Conference on Compilers, 2014
DianNao: a small-footprint high-throughput accelerator for ubiquitous machine-learning.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
Leveraging the error resilience of machine-learning applications for designing highly energy efficient accelerators.
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
Effective and efficient microprocessor design space exploration using unlabeled design configurations.
ACM Trans. Intell. Syst. Technol., 2013
IEEE Trans. Computers, 2013
Microprocess. Microsystems, 2013
Proceedings of the 2013 IEEE International Solid-State Circuits Conference, 2013
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013
2012
IEEE Trans. Parallel Distributed Syst., 2012
CoRR, 2012
Proceedings of the Network and Parallel Computing, 9th IFIP International Conference, 2012
BenchNN: On the broad potential application scope of hardware neural network accelerators.
Proceedings of the 2012 IEEE International Symposium on Workload Characterization, 2012
Proceedings of the 14th IEEE International Conference on High Performance Computing and Communication & 9th IEEE International Conference on Embedded Software and Systems, 2012
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012
2011
J. Comput. Sci. Technol., 2011
The Impact of Mutation Rate on the Computation Time of Evolutionary Dynamic Optimization
CoRR, 2011
Proceedings of the SPAA 2011: Proceedings of the 23rd Annual ACM Symposium on Parallelism in Algorithms and Architectures, 2011
Proceedings of the IEEE International Solid-State Circuits Conference, 2011
Effective and Efficient Microprocessor Design Space Exploration Using Unlabeled Design Configurations.
Proceedings of the IJCAI 2011, 2011
Proceedings of the 2011 Data Compression Conference (DCC 2011), 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
J. Comput. Sci. Technol., 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
Proceedings of the 17th IEEE International Conference on Electronics, 2010
A multi-FPGA based platform for emulating a 100m-transistor-scale processor with high-speed peripherals (abstract only).
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
2009
Global Clock, Physical Time Order and Pending Period Analysis in Multiprocessor Systems
CoRR, 2009
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009
Proceedings of the International Conference on Networking, Architecture, and Storage, 2009
Proceedings of the International Conference on Embedded Software and Systems, 2009
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009
A stochastic method for controlling the scaling parameters of Cauchy mutation in fast evolutionary programming.
Proceedings of the IEEE Congress on Evolutionary Computation, 2009
2008
Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
2004
Proceedings of the Embedded Software and Systems, First International Conference, 2004