Yuan Wang
Orcid: 0000-0002-4951-4286Affiliations:
- Peking University, Institute of Microelectronics, Beijing, China
According to our database1,
Yuan Wang
authored at least 124 papers
between 2007 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on scopus.com
-
on orcid.org
On csauthors.net:
Bibliography
2024
CASCADE: A Framework for CNN Accelerator Synthesis With Concatenation and Refreshing Dataflow.
IEEE Trans. Circuits Syst. I Regul. Pap., November, 2024
BrainQN: Enhancing the Robustness of Deep Reinforcement Learning with Spiking Neural Networks.
Adv. Intell. Syst., September, 2024
A 28nm 8Kb Reconfigurable SRAM Computing-In-Memory Macro With Input-Sparsity Optimized DTC for Multi-Mode MAC Operations.
IEEE Trans. Circuits Syst. II Express Briefs, July, 2024
IEEE Trans. Cogn. Dev. Syst., June, 2024
A 768.7-2124.2 TOPS/W Time-Domain Computing-in-Memory Macro With Low Static Leakage and Precision-Configurable TDC.
IEEE Trans. Circuits Syst. II Express Briefs, May, 2024
An eDRAM-Based Computing-in-Memory Macro With Full-Valid-Storage and Channel-Wise-Parallelism for Depthwise Neural Network.
IEEE Trans. Circuits Syst. II Express Briefs, May, 2024
OASIS: A 28-nm 32-kb SRAM-Based Computing-in-Memory Design With Output Activation Sparsity Support.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2024
A 16.38TOPS and 4.55POPS/W SRAM Computing-in-Memory Macro for Signed Operands Computation and Batch Normalization Implementation.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2024
A 4-bit Calibration-Free Computing-In-Memory Macro With 3T1C Current-Programed Dynamic-Cascode Multi-Level-Cell eDRAM.
IEEE J. Solid State Circuits, March, 2024
AdapMoE: Adaptive Sensitivity-based Expert Gating and Management for Efficient MoE Inference.
CoRR, 2024
Investigation and mitigation of Mott neuronal oscillation fluctuation in spiking neural network.
Sci. China Inf. Sci., 2024
30.5 A Variation-Tolerant In-eDRAM Continuous-Time Ising Machine Featuring 15-Level Coefficients and Leaked Negative-Feedback Annealing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024
A cascaded timestamp-free event camera image compression method for gesture recognition.
Proceedings of the 33rd IEEE International Symposium on Industrial Electronics, 2024
An Energy-Efficient Differential Frame Convolutional Accelerator with on-Chip Fusion Storage Architecture and Pixel-Level Pipeline Data Flow.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
ASCEND: Accurate yet Efficient End-to-End Stochastic Computing Acceleration of Vision Transformer.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
PVTSizing: A TuRBO-RL-Based Batch-Sampling Optimization Framework for PVT-Robust Analog Circuit Synthesis.
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
A 181.8dB FoMs Zoom Capacitance-to-Digital Converter with kT/C Noise Cancellation and Dead Band Operation.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2024
MixCIM: A Hybrid-Cell-Based Computing-in-Memory Macro with Less-Data-Movement and Activation-Memory-Reuse for Depthwise Separable Neural Networks.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2024
A 28nm 128TFLOPS/W Computing-In-Memory Engine Supporting One-Shot Floating-Point NN Inference and On-Device Fine-Tuning for Edge AI.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2024
Proceedings of the 6th IEEE International Conference on AI Circuits and Systems, 2024
2023
Toward a Lossless Conversion for Spiking Neural Networks with Negative-Spike Dynamics.
Adv. Intell. Syst., December, 2023
An Efficient Neuromorphic Implementation of Temporal Coding-Based On-Chip STDP Learning.
IEEE Trans. Circuits Syst. II Express Briefs, November, 2023
A 28nm 32Kb SRAM Computing-in-Memory Macro With Hierarchical Capacity Attenuator and Input Sparsity-Optimized ADC for 4b Mac Operation.
IEEE Trans. Circuits Syst. II Express Briefs, June, 2023
A 28 nm 16 Kb Bit-Scalable Charge-Domain Transpose 6T SRAM In-Memory Computing Macro.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2023
Real-Time Target Tracking System With Spiking Neural Networks Implemented on Neuromorphic Chips.
IEEE Trans. Circuits Syst. II Express Briefs, April, 2023
Multi-Competitive Virus Spread over a Time-Varying Networked SIS Model with an Infrastructure Network.
CoRR, 2023
ALEGO: Towards Cost-Aware Architecture and Integration Co-Design for Chiplet-based Spatial Accelerators.
CoRR, 2023
A 150kHz-BW 15-ENOB Incremental Zoom ADC with Skipped Sampling and Single Buffer Embedded Noise-Shaping SAR Quantizer.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023
A 28nm 38-to-102-TOPS/W 8b Multiply-Less Approximate Digital SRAM Compute-In-Memory Macro for Neural-Network Inference.
Proceedings of the IEEE International Solid- State Circuits Conference, 2023
A Spiking Neural Network Accelerator based on Ping-Pong Architecture with Sparse Spike and Weight.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the Artificial Neural Networks and Machine Learning, 2023
Proceedings of the 25th International Conference on Advanced Communication Technology, 2023
Accurate yet Efficient Stochastic Computing Neural Acceleration with High Precision Residual Fusion.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Efficient Non-Linear Adder for Stochastic Computing with Approximate Spatial-Temporal Sorting Network.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
A Calibration-Free 15-level/Cell eDRAM Computing-in-Memory Macro with 3T1C Current-Programmed Dynamic-Cascoded MLC achieving 233-to-304-TOPS/W 4b MAC.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023
A 9.7fJ/Conv.-Step Capacitive Sensor Readout Circuit with Incremental Zoomed Time Domain Quantization.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023
Proceedings of the IEEE Biomedical Circuits and Systems Conference, 2023
Unsupervised Learning of Spike-Timing-Dependent Plasticity Based on a Neuromorphic Implementation.
Proceedings of the 5th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2023
2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
IEEE Trans. Circuits Syst. II Express Briefs, 2022
A 65 nm 73 kb SRAM-Based Computing-In-Memory Macro With Dynamic-Sparsity Controlling.
IEEE Trans. Circuits Syst. II Express Briefs, 2022
Modular building blocks for mapping spiking neural networks onto a programmable neuromorphic processor.
Microelectron. J., 2022
A 128 Kb DAC-less 6T SRAM computing-in-memory macro with prioritized subranging ADC for AI edge applications.
Microelectron. J., 2022
A State Feedback Controller for Mitigation of Continuous-Time Networked SIS Epidemics.
CoRR, 2022
A Computing-in-Memory SRAM Macro Based on Fully-Capacitive-Coupling With Hierarchical Capacity Attenuator for 4-b MAC Operation.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
A 4-bit Integer-Only Neural Network Quantization Method Based on Shift Batch Normalization.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
A Reconfigurable SRAM Computing-in-Memory Macro Supporting Ping-Pong Operation and CIM pipeline for Multi-mode MAC operations.
Proceedings of the 2022 IEEE International Conference on Integrated Circuits, 2022
An Once-for-All Budgeted Pruning Framework for ConvNets Considering Input Resolution.
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, 2022
Proceedings of the 61st IEEE Conference on Decision and Control, 2022
A Hybrid Spiking Recurrent Neural Network on Hardware for Efficient Emotion Recognition.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
Proceedings of the Computer Vision - ACCV 2022, 2022
2021
A 64K-Neuron 64M-1b-Synapse 2.64pJ/SOP Neuromorphic Chip With All Memory on Chip for Spike-Based Models in 65nm CMOS.
IEEE Trans. Circuits Syst. II Express Briefs, 2021
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
CoRR, 2021
CoRR, 2021
A Spike-Event-Based Neuromorphic Processor with Enhanced On-Chip STDP Learning in 28nm CMOS.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
A 28-nm 0.34-pJ/SOP Spike-Based Neuromorphic Processor for Efficient Artificial Neural Network Implementations.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
A 16Kb Transpose 6T SRAM In-Memory-Computing Macro based on Robust Charge-Domain Computing.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021
2020
IEEE Trans. Circuits Syst., 2020
A New Behavioral Model of Gate-Grounded NMOS for Simulating Snapback Characteristics.
IEEE Access, 2020
Accurate and Energy-Efficient Implementation of Non-Linear Adder in Parallel Stochastic Computing using Sorting Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
When Sorting Network Meets Parallel Bitstreams: A Fault-Tolerant Parallel Ternary Neural Network Accelerator based on Stochastic Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
Improved turn-on behavior in a diode-triggered silicon-controlled rectifier for high-speed electrostatic discharge protection.
Sci. China Inf. Sci., 2019
Parallel Convolutional Neural Network (CNN) Accelerators Based on Stochastic Computing.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019
A Sparse Event-Driven Unsupervised Learning Network with Adaptive Exponential Integrate-and-Fire Model.
Proceedings of the International Conference on IC Design and Technology, 2019
Memory System Designed for Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.
Proceedings of the International Conference on IC Design and Technology, 2019
Proceedings of the 2019 IEEE Biomedical Circuits and Systems Conference, 2019
An Energy-Efficient Mixed-Signal Parallel Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.
Proceedings of the 13th IEEE International Conference on ASIC, 2019
2018
Int. J. Circuit Theory Appl., 2018
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
Investigation on the Gate Bias Voltage of BigFET in Power-rail ESD Clamp Circuit for Enhanced Transient Noise Immunity.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
A Compact and Accelerated Spike-based Neuromorphic VLSI Chip for Pattern Recognition.
Proceedings of the 2018 IEEE Biomedical Circuits and Systems Conference, 2018
2017
Delay-locked loop based clock and data recovery with wide operating range and low jitter in a 65-nm CMOS process.
Int. J. Circuit Theory Appl., 2017
Power-Rail ESD Clamp Circuit with Parasitic-BJT and Channel Parallel Shunt Paths to Achieve Enhanced Robustness.
IEICE Trans. Electron., 2017
IEICE Electron. Express, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Power-rail ESD clamp circuit with hybrid-detection enhanced triggering in a 65-nm, 1.2-V CMOS process.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the 12th IEEE International Conference on ASIC, 2017
2016
Int. J. Circuit Theory Appl., 2016
A true single-phase clock dual-modulus prescaler with enhanced robustness against leakage currents.
Int. J. Circuit Theory Appl., 2016
A Short-Time Three-Phase Single-Rail Precharge Logic against Differential Power Analysis.
IEICE Trans. Electron., 2016
Optimization on Layout Strategy of Gate-Grounded NMOS for On-Chip ESD Protection in a 65-nm CMOS Process.
IEICE Trans. Electron., 2016
IEICE Electron. Express, 2016
Area-efficient transient power-rail electrostatic discharge clamp circuit with mis-triggering immunity in a 65-nm CMOS process.
Sci. China Inf. Sci., 2016
Design of a novel static-triggered power-rail ESD clamp circuit in a 65-nm CMOS process.
Sci. China Inf. Sci., 2016
Sci. China Inf. Sci., 2016
Delay-locked loop based frequency quadrupler with wide operating range and fast locking characteristics.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
A novel low-leakage power-rail ESD clamp circuit with adjustable triggering voltage and superior false-triggering immunity for nanoscale applications.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
A novel low-power and high-speed dual-modulus prescaler based on extended true single-phase clock logic.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2016
2015
IEICE Trans. Electron., 2015
Investigation on the layout strategy of ggNMOS ESD protection devices for uniform conduction behavior and optimal width scaling.
Sci. China Inf. Sci., 2015
180.5Mbps-8Gbps DLL-based clock and data recovery circuit with low jitter performance.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
A low-power high-speed 32/33 prescaler based on novel divide-by-4/5 unit with improved true single-phase clock logic.
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
Four-bit transient-to-digital converter with a single RC-based detection circuit for system-level ESD protection.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
Design on multi-bit adder using sense amplifier-based pass transistor logic for near-threshold voltage operation.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
A 10b, 0.7ps resolution coarse-fine time-to-digital converter in 65nm CMOS using a time residue amplifier.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
A low-leakage power clamp ESD protection circuit with prolonged ESD discharge time and compact detection network.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
2014
Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp.
Sci. China Inf. Sci., 2014
2013
IEICE Trans. Electron., 2013
IEICE Trans. Electron., 2013
IEICE Trans. Electron., 2013
Thermo data-weighted average dynamic element matching (DEM) encoder for current-steering DACs.
IEICE Electron. Express, 2013
Proceedings of the IEEE 10th International Conference on ASIC, 2013
Novel gate-voltage-bias techniques for gate-coupled MOS (GCMOS) ESD protection circuits.
Proceedings of the IEEE 10th International Conference on ASIC, 2013
Proceedings of the IEEE 10th International Conference on ASIC, 2013
2012
IEICE Trans. Electron., 2012
Design of novel, semi-transparent flip-flops (STFF) for high speed and low power application.
Sci. China Inf. Sci., 2012
2011
Novel single-loop multi-bit sigma-delta modulator using OTA sharing technique without DEM.
IEICE Electron. Express, 2011
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011
2010
2007
Design of High-Performance Voltage Regulators Based on Frequency-Dependent Feedback Factor.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007