Youtao Zhang
Orcid: 0000-0001-8425-8743Affiliations:
- University of Pittsburgh, Computer Science Department, PA, USA
- University of Texas at Dallas, USA
According to our database1,
Youtao Zhang
authored at least 210 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on utdallas.edu
On csauthors.net:
Bibliography
2024
Space-efficient and high-performance inline deduplication for emerging hybrid storage system with Libra+.
J. Syst. Archit., 2024
CoRR, 2024
Proceedings of the 38th ACM International Conference on Supercomputing, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
2023
IEEE Trans. Computers, February, 2023
CoRR, 2023
CoRR, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Understanding and Defending Patched-based Adversarial Attacks for Vision Transformer.
Proceedings of the International Conference on Machine Learning, 2023
Proceedings of the Eleventh International Conference on Learning Representations, 2023
Proceedings of the 41st IEEE International Conference on Computer Design, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
Trans-FW: Short Circuiting Page Table Walk in Multi-GPU Systems via Remote Forwarding.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Orchestrating Measurement-Based Quantum Computation over Photonic Quantum Processors.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Libra: A Space-Efficient, High-Performance Inline Deduplication for Emerging Hybrid Storage System.
Proceedings of the IEEE Intl Conf on Parallel & Distributed Processing with Applications, 2023
2022
CCF Trans. High Perform. Comput., December, 2022
Leveraging Multimodal Semantic Fusion for Gastric Cancer Screening via Hierarchical Attention Mechanism.
IEEE Trans. Syst. Man Cybern. Syst., 2022
Proceedings of the 43rd IEEE Symposium on Security and Privacy, 2022
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Tacker: Tensor-CUDA Core Kernel Fusion for Improving the GPU Utilization while Ensuring QoS.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
2021
Privacy-preserving Time-series Medical Images Analysis Using a Hybrid Deep Learning Framework.
ACM Trans. Internet Techn., 2021
ACM Trans. Knowl. Discov. Data, 2021
Automatic Acetowhite Lesion Segmentation via Specular Reflection Removal and Deep Attention Network.
IEEE J. Biomed. Health Informatics, 2021
Deep Super-Resolution Network for rPPG Information Recovery and Noncontact Heart Rate Estimation.
IEEE Trans. Instrum. Meas., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
Proceedings of the Companion of The Web Conference 2021, 2021
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Improving Address Translation in Multi-GPUs via Sharing and Spilling aware TLB Design.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
AutoBraid: A Framework for Enabling Efficient Surface Code Communication in Quantum Computing.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the 39th IEEE International Conference on Computer Design, 2021
ModelShield: A Generic and Portable Framework Extension for Defending Bit-Flip based Adversarial Weight Attacks.
Proceedings of the 39th IEEE International Conference on Computer Design, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021
2020
IEEE Trans. Parallel Distributed Syst., 2020
A Novel Trust Model Based Overlapping Community Detection Algorithm for Social Networks.
IEEE Trans. Knowl. Data Eng., 2020
Automatic CIN Grades Prediction of Sequential Cervigram Image Using LSTM With Multistate CNN Features.
IEEE J. Biomed. Health Informatics, 2020
Introduction to the Special Issue on Languages, Compilers, Tools, and Theory of Embedded Systems: Part 2.
ACM Trans. Embed. Comput. Syst., 2020
Introduction to the Special Issue on Languages, Compilers, Tools, and Theory of Embedded Systems: Part 1.
ACM Trans. Embed. Comput. Syst., 2020
Exploiting In-Memory Data Patterns for Performance Improvement on Crossbar Resistive Memory.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
FRF: Toward Warp-Scheduler Friendly STT-RAM/SRAM Fine-Grained Hybrid GPGPU Register File Design.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Leveraging partial-refresh for performance and lifetime improvement of 3D NAND flash memory in cyber-physical systems.
J. Syst. Archit., 2020
SlackQ : Approaching the Qubit Mapping Problem with A Slack-aware Swap Insertion Scheme.
CoRR, 2020
Accelerating 3D Vertical Resistive Memories with Opportunistic Write Latency Reduction.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
ACM Trans. Embed. Comput. Syst., 2019
A Novel STT-RAM-Based Hybrid Cache for Intermittently Powered Processors in IoT Devices.
IEEE Micro, 2019
Smart connected electronic gastroscope system for gastric cancer screening using multi-column convolutional neural networks.
Int. J. Prod. Res., 2019
Proceedings of the 2019 IEEE Non-Volatile Memory Systems and Applications Symposium, 2019
Parallel all the time: Plane Level Parallelism Exploration for High Performance SSDs.
Proceedings of the 35th Symposium on Mass Storage Systems and Technologies, 2019
Constructing Large, Durable and Fast SSD System via Reprogramming 3D TLC Flash Memory.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019
Proceedings of the ACM International Conference on Supercomputing, 2019
Proceedings of the International Conference on IC Design and Technology, 2019
Proceedings of the International Conference on IC Design and Technology, 2019
Proceedings of the 37th IEEE International Conference on Computer Design, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
LAcc: Exploiting Lookup Table-based Fast and Accurate Vector Multiplication in DRAM-based CNN Accelerator.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
DLV: Exploiting Device Level Latency Variations for Performance Improvement on Flash Memory Storage Systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEICE Electron. Express, 2018
Time-aware cloud service recommendation using similarity-enhanced collaborative filtering and ARIMA model.
Decis. Support Syst., 2018
Appl. Math. Comput., 2018
Enabling Intra-Plane Parallel Block Erase in NAND Flash to Alleviate the Impact of Garbage Collection.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018
D-ORAM: Path-ORAM Delegation for Low Execution Interference on Cloud Servers with Untrusted Memory.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
ShadowGC: Cooperative garbage collection with multi-level buffer for performance improvement in NAND flash-based SSDs.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
ACM Trans. Design Autom. Electr. Syst., 2017
Microprocess. Microsystems, 2017
A 2 GSps, 8-Bit Folding and Interpolation ADC with Foreground Calibration in 90 nm CMOS Technology.
J. Sensors, 2017
Multi-objective optimization based ranking prediction for cloud service recommendation.
Decis. Support Syst., 2017
Decongest: Accelerating Super-Dense PCM Under Write Disturbance by Hot Page Remapping.
IEEE Comput. Archit. Lett., 2017
Proceedings of the IEEE 6th Non-Volatile Memory Systems and Applications Symposium, 2017
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
AEP: An error-bearing neural network accelerator for energy efficiency and model protection.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017
DrMP: Mixed Precision-Aware DRAM for High Performance Approximate and Precise Computing.
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017
2016
IEEE Comput. Archit. Lett., 2016
Proceedings of the 5th Non-Volatile Memory Systems and Applications Symposium, 2016
Proceedings of the Second International Symposium on Memory Systems, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
Simultaneous Multikernel GPU: Multi-tasking throughput processors via fine-grained sharing.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
ReadDuo: Constructing Reliable MLC Phase Change Memory through Fast and Robust Readout.
Proceedings of the 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2016
Checkpoint aware hybrid cache architecture for NV processor in energy harvesting powered systems.
Proceedings of the Eleventh IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2016
2015
Simple Virtual Channel Allocation for High-Throughput and High-Frequency On-Chip Routers.
ACM Trans. Parallel Comput., 2015
Constructing Large and Fast On-Chip Cache for Mobile Processors with Multilevel Cell STT-MRAM Technology.
ACM Trans. Design Autom. Electr. Syst., 2015
Wear Relief for High-Density Phase Change Memory Through Cell Morphing Considering Process Variation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Proceedings of the 2015 International Symposium on Memory Systems, 2015
Exploit common source-line to construct energy efficient domain wall memory based caches.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
DLB: Dynamic lane borrowing for improving bandwidth and performance in Hybrid Memory Cube.
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 17th IEEE International Conference on High Performance Computing and Communications, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Exploit imbalanced cell writes to mitigate write disturbance in dense phase change memory.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Selective restore: an energy efficient read disturbance mitigation scheme for future STT-MRAM.
Proceedings of the 52nd Annual Design Automation Conference, 2015
SD-PCM: Constructing Reliable Super Dense Phase Change Memory under Write Disturbance.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015
2014
Errata to "Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked Multicore Processor".
IEEE Trans. Computers, 2014
Combining QoS prediction and customer satisfaction estimation to solve cloud service trustworthiness evaluation problems.
Knowl. Based Syst., 2014
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014
Proceedings of the 43rd International Conference on Parallel Processing, 2014
Proceedings of the 2014 IEEE International Conference on High Performance Computing and Communications, 2014
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 2014 IEEE International Conference on Cluster Computing, 2014
2013
Common-source-line array: An area efficient memory architecture for bipolar nonvolatile devices.
ACM Trans. Design Autom. Electr. Syst., 2013
Process Variation-Aware Nonuniform Cache Management in a 3D Die-Stacked Multicore Processor.
IEEE Trans. Computers, 2013
Hardware-Assisted Cooperative Integration of Wear-Leveling and Salvaging for Phase Change Memory.
ACM Trans. Archit. Code Optim., 2013
Proceedings of the 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2013
Proceedings of the SIGPLAN/SIGBED Conference on Languages, 2013
Proceedings of the International Symposium on Low Power Electronics and Design (ISLPED), 2013
The design of sustainable wireless sensor network node using solar energy and phase change memory.
Proceedings of the Design, Automation and Test in Europe, 2013
Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer.
Proceedings of the Design, Automation and Test in Europe, 2013
2012
FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory.
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the 18th IEEE International Symposium on High Performance Computer Architecture, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
A co-commitment based secure data collection scheme for tiered wireless sensor networks.
J. Syst. Archit., 2011
Analyzing the impact of useless write-backs on the endurance and energy consumption of PCM main memory.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2011
Enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011
Proceedings of the 2011 IEEE/IFIP International Conference on Dependable Systems and Networks, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 9th International Conference on Hardware/Software Codesign and System Synthesis, 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
The design and evaluation of interleaved authentication for filtering false reports in multipath routing WSNs.
Wirel. Networks, 2010
IEEE Trans. Parallel Distributed Syst., 2010
ACM Trans. Archit. Code Optim., 2010
J. Parallel Distributed Comput., 2010
J. Netw. Comput. Appl., 2010
Proceedings of the ACM SIGPLAN/SIGBED 2010 conference on Languages, 2010
Proceedings of the 24th IEEE International Symposium on Parallel and Distributed Processing, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
2009
Towards update-conscious compilation for energy-efficient code dissemination in WSNs.
ACM Trans. Archit. Code Optim., 2009
Supporting flexible streaming media protection through privacy-aware secure processors.
Comput. Electr. Eng., 2009
SDC: Secure Data Collection for Time Based Queries in Tiered Wireless Sensor Networks.
Proceedings of the 15th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, 2009
Variation-tolerant non-uniform 3D cache management in die stacked multicore processor.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the 2009 International Conference on Computer-Aided Design, 2009
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009
Proceedings of the Distributed Computing in Sensor Systems, 2009
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009
2008
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2008
Proceedings of the 22nd IEEE International Symposium on Parallel and Distributed Processing, 2008
Proceedings of the 2008 International Conference on Parallel Processing, 2008
Adaptive Buffer Management for Efficient Code Dissemination in Multi-Application Wireless Sensor Networks.
Proceedings of the 2008 IEEE/IPIP International Conference on Embedded and Ubiquitous Computing (EUC 2008), 2008
2007
The design and evaluation of path matching schemes on compressed control flow traces.
J. Syst. Softw., 2007
Proceedings of the ACM SIGPLAN 2007 Conference on Programming Language Design and Implementation, 2007
2006
Proceedings of the IEEE 3rd International Conference on Mobile Adhoc and Sensor Systems, 2006
The interleaved authentication for filtering false reports in multipath routing based sensor networks.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006
Proceedings of the Distributed Computing in Sensor Systems, 2006
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006
Proceedings of the 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), 2006
2005
ACM Trans. Program. Lang. Syst., 2005
IEEE Trans. Computers, 2005
SIGARCH Comput. Archit. News, 2005
A low energy cache design for multimedia applications exploiting set access locality.
J. Syst. Archit., 2005
Reducing I-cache energy of multimedia applications through low cost tag comparison elimination.
J. Embed. Comput., 2005
Proceedings of the 2005 ACM Symposium on Applied Computing (SAC), 2005
Proceedings of the Embedded Software and Systems, Second International Conference, 2005
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005
Performance Comparison of Path Matching Algorithms over Compressed Control Flow Traces.
Proceedings of the 2005 Data Compression Conference (DCC 2005), 2005
2004
Algorithms and analysis of scheduling for low-power high-performance DSP on VLIW processors.
Int. J. High Perform. Comput. Netw., 2004
Proceedings of the Languages and Compilers for High Performance Computing, 2004
Efficient Forward Computation of Dynamic Slices Using Reduced Ordered Binary Decision Diagrams.
Proceedings of the 26th International Conference on Software Engineering (ICSE 2004), 2004
Proceedings of the 10th International Conference on Parallel and Distributed Systems, 2004
2003
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Proceedings of the 25th International Conference on Software Engineering, 2003
Proceedings of the 32nd International Conference on Parallel Processing (ICPP 2003), 2003
Proceedings of the 32nd International Conference on Parallel Processing (ICPP 2003), 2003
Application-Specific Interconnection Network Design in Clustered DSP Processors.
Proceedings of the ISCA 16th International Conference on Parallel and Distributed Computing Systems, 2003
2002
Proceedings of the 2002 Data Compression Conference (DCC 2002), 2002
Proceedings of the Compiler Construction, 11th International Conference, 2002
Proceedings of the Compiler Construction, 11th International Conference, 2002
Proceedings of the Compiler Design Handbook: Optimizations and Machine Code Generation, 2002
2001
Proceedings of the 2001 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), 2001
2000
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000
Proceedings of the ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000