Wu-Tung Cheng
Orcid: 0000-0001-6327-2394
According to our database1,
Wu-Tung Cheng
authored at least 161 papers
between 1985 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Proceedings of the IEEE International Test Conference, 2024
2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Accurate Estimation of Test Pattern Counts for a Wide-Range of EDT Input/Output Channel Configurations.
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Proceedings of the IEEE International Test Conference, 2022
2021
IEEE Trans. Emerg. Top. Comput., 2021
Proceedings of the IEEE International Test Conference in Asia, 2021
Proceedings of the 30th IEEE Asian Test Symposium, 2021
2020
Diagnosis of Intermittent Scan Chain Faults Through a Multistage Neural Network Reasoning Process.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Prediction of Test Pattern Count and Test Data Volume for Scan Architectures under Different Input Channel Configurations.
Proceedings of the IEEE International Test Conference, 2020
Estimation of Test Data Volume for Scan Architectures with Different Numbers of Input Channels.
Proceedings of the IEEE International Test Conference in Asia, 2020
Proceedings of the IEEE International Test Conference in Asia, 2020
Proceedings of the IEEE European Test Symposium, 2020
2019
Proceedings of the 37th IEEE VLSI Test Symposium, 2019
Proceedings of the International Symposium on VLSI Design, Automation and Test, 2019
Proceedings of the 24th IEEE European Test Symposium, 2019
Proceedings of the 28th IEEE Asian Test Symposium, 2019
Proceedings of the 28th IEEE Asian Test Symposium, 2019
Improving scan chain diagnostic accuracy using multi-stage artificial neural networks.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
2017
Proceedings of the 2017 International Symposium on VLSI Design, Automation and Test, 2017
Proceedings of the 22nd IEEE European Test Symposium, 2017
Proceedings of the 26th IEEE Asian Test Symposium, 2017
Automatic Identification of Yield Limiting Layout Patterns Using Root Cause Deconvolution on Volume Scan Diagnosis Data.
Proceedings of the 26th IEEE Asian Test Symposium, 2017
2016
IEEE Des. Test, 2016
IEEE Des. Test, 2016
Proceedings of the 2016 IEEE International Test Conference, 2016
Proceedings of the International Conference on High Performance Computing & Simulation, 2016
Proceedings of the 21th IEEE European Test Symposium, 2016
Proceedings of the 25th IEEE Asian Test Symposium, 2016
2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Nonintrusive On-Line Transition-Time Binning and Timing Failure Threat Detection for Die-to-Die Interconnects.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Proceedings of the 28th International Conference on VLSI Design, 2015
Proceedings of the VLSI Design, Automation and Test, 2015
Proceedings of the VLSI Design, Automation and Test, 2015
Proceedings of the VLSI Design, Automation and Test, 2015
Proceedings of the 2015 IEEE International Test Conference, 2015
Advancements in diagnosis driven yield analysis (DDYA): A survey of state-of-the-art scan diagnosis and yield analysis technologies.
Proceedings of the 20th IEEE European Test Symposium, 2015
Feedback-bus oscillation ring: a general architecture for delay characterization and test of interconnects.
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
On Improving Transition Test Set Quality to Detect CMOS Transistor Stuck-Open Faults.
Proceedings of the 24th IEEE Asian Test Symposium, 2015
2014
IEEE Trans. Very Large Scale Integr. Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Parametric Fault Testing and Performance Characterization of Post-Bond Interposer Wires in 2.5-D ICs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Proceedings of the IEEE 23rd North Atlantic Test Workshop, 2014
On-the-fly timing-aware built-in self-repair for high-speed interposer wires in 2.5-D ICs.
Proceedings of the 19th IEEE European Test Symposium, 2014
Proceedings of the 23rd IEEE Asian Test Symposium, 2014
Proceedings of the 23rd IEEE Asian Test Symposium, 2014
2013
Parametric Delay Test of Post-Bond Through-Silicon Vias in 3-D ICs via Variable Output Thresholding Analysis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the 31st IEEE VLSI Test Symposium, 2013
Proceedings of the 2013 International Symposium on VLSI Design, Automation, and Test, 2013
Proceedings of the 2013 IEEE International Test Conference, 2013
Proceedings of the 2013 IEEE International Test Conference, 2013
Proceedings of the 2013 IEEE 19th International On-Line Testing Symposium (IOLTS), 2013
2012
Proceedings of the 2012 IEEE International Test Conference, 2012
Proceedings of the 2012 IEEE International Test Conference, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 21st IEEE Asian Test Symposium, 2012
Proceedings of the 21st IEEE Asian Test Symposium, 2012
Proceedings of the 21st IEEE Asian Test Symposium, 2012
Proceedings of the 21st IEEE Asian Test Symposium, 2012
2011
A novel Test Access Mechanism for failure diagnosis of multiple isolated identical cores.
Proceedings of the 2011 IEEE International Test Conference, 2011
Proceedings of the 2011 IEEE International Test Conference, 2011
Proceedings of the 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the 2010 International Conference on Computer-Aided Design, 2010
Proceedings of the 15th European Test Symposium, 2010
Proceedings of the 15th European Test Symposium, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
Improved weight assignment for logic switching activity during at-speed test pattern generation.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
Proceedings of the 14th IEEE European Test Symposium, 2009
Improving compressed test pattern generation for multiple scan chain failure diagnosis.
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Eighteentgh Asian Test Symposium, 2009
Proceedings of the Eighteentgh Asian Test Symposium, 2009
Proceedings of the Eighteentgh Asian Test Symposium, 2009
2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008
Efficiently Performing Yield Enhancements by Identifying Dominant Physical Root Cause from Test Fail Data.
Proceedings of the 2008 IEEE International Test Conference, 2008
Extraction, Simulation and Test Generation for Interconnect Open Defects Based on Enhanced Aggressor-Victim Model.
Proceedings of the 2008 IEEE International Test Conference, 2008
Proceedings of the 2008 IEEE International Test Conference, 2008
Proceedings of the 13th European Test Symposium, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
2007
IEEE Des. Test Comput., 2007
Proceedings of the 25th IEEE VLSI Test Symposium (VTS 2007), 2007
Faster defect localization in nanometer technology based on defective cell diagnosis.
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 2007 IEEE International Test Conference, 2007
Proceedings of the 16th Asian Test Symposium, 2007
Proceedings of the 16th Asian Test Symposium, 2007
Proceedings of the 16th Asian Test Symposium, 2007
Proceedings of the 16th Asian Test Symposium, 2007
Proceedings of the 16th Asian Test Symposium, 2007
2006
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Proceedings of the 2006 IEEE International Test Conference, 2006
Proceedings of the 2006 IEEE International Test Conference, 2006
Proceedings of the 2006 IEEE International Test Conference, 2006
Proceedings of the 2006 IEEE International Test Conference, 2006
Proceedings of the 15th Asian Test Symposium, 2006
Proceedings of the 15th Asian Test Symposium, 2006
A Field Programmable Memory BIST Architecture Supporting Algorithms with Multiple Nested Loops.
Proceedings of the 15th Asian Test Symposium, 2006
2005
Proceedings of the 13th IEEE International Workshop on Memory Technology, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004
Proceedings of the 17th International Conference on VLSI Design (VLSI Design 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004
2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the 4th International Symposium on Quality of Electronic Design (ISQED 2003), 2003
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
2002
J. Electron. Test., 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Optimal Core Wrapper Width Selection and SOC Test Scheduling Based on 3-D Bin Packing Algorithm.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002
2001
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
Proceedings of the IEEE 2001 Custom Integrated Circuits Conference, 2001
Proceedings of the 10th Asian Test Symposium (ATS 2001), 19-21 November 2001, Kyoto, Japan, 2001
2000
SIFAR: Static Test Compaction for Synchronous Sequential Circuits Based on Single Fault Restoration.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000
1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
1996
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996
1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
1990
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990
Proceedings of the European Design Automation Conference, 1990
1989
Proceedings of the 26th ACM/IEEE Design Automation Conference, 1989
1988
Proceedings of the Computer Design: VLSI in Computers and Processors, 1988
Proceedings of the 25th ACM/IEEE Conference on Design Automation, 1988
1987
IEEE Trans. Computers, 1987
1985
Multiple-Fault Detection in Iterative Logic Arrays.
Proceedings of the Proceedings International Test Conference 1985, 1985