Woo-Cheol Kwon
According to our database1,
Woo-Cheol Kwon
authored at least 14 papers
between 2000 and 2018.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2018
PhD thesis, 2018
2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
2014
IEEE Micro, 2014
SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering.
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014
SCORPIO: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect.
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
2013
Single-Cycle Multihop Asynchronous Repeated Traversal: A SMART Future for Reconfigurable On-Chip Networks.
Computer, 2013
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013
2009
In-network reorder buffer to improve overall NoC performance while resolving the in-order requirement problem.
Proceedings of the Design, Automation and Test in Europe, 2009
2008
An Open-Loop Flow Control Scheme Based on the Accurate Global Information of On-Chip Communication.
Proceedings of the Design, Automation and Test in Europe, 2008
A practical approach of memory access parallelization to exploit multiple off-chip DDR memories.
Proceedings of the 45th Design Automation Conference, 2008
2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
ACM Trans. Embed. Comput. Syst., 2005
2000
Proceedings of the Algorithms, 2000