William Fornaciari
Orcid: 0000-0001-8294-730X
According to our database1,
William Fornaciari
authored at least 232 papers
between 1990 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
ACM Trans. Design Autom. Electr. Syst., 2024
CoRR, 2024
Proceedings of the 14th IEEE International Symposium on Industrial Embedded Systems, 2024
Proceedings of the 27th Conference on Innovation in Clouds, Internet and Networks, 2024
Proceedings of the 27th Euromicro Conference on Digital System Design, 2024
2023
Sustain. Comput. Informatics Syst., September, 2023
IEEE Trans. Parallel Distributed Syst., March, 2023
Software Fault Tolerance in Real-Time Systems: Identifying the Future Research Questions.
ACM Comput. Surv., 2023
Sema-IIoVT: Emergent Semantic-Based Trustworthy Information-Centric Fog System and Testbed for Intelligent Internet of Vehicles.
IEEE Consumer Electron. Mag., 2023
RISC-V-Based Platforms for HPC: Analyzing Non-functional Properties for Future HPC and Big-Data Clusters.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2023
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2023
Wireless synchronisation as a control problem embedded in new-generation networked automation systems.
Proceedings of the 31st Mediterranean Conference on Control and Automatio, 2023
Efficient control-oriented modelling of heterogeneous large-scale computer cooling systems.
Proceedings of the 31st Mediterranean Conference on Control and Automatio, 2023
Proceedings of the 8th ACM/IEEE Conference on Internet of Things Design and Implementation, 2023
Dynamic Power Consumption of the Full Posit Processing Unit: Analysis and Experiments.
Proceedings of the 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2023
Proceedings of the 14th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 12th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2023
Hardware and Software Support for Mixed Precision Computing: a Roadmap for Embedded and HPC Systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Mixed-Criticality with Integer Multiple WCETs and Dropping Relations: New Scheduling Challenges.
Proceedings of the 28th Asia and South Pacific Design Automation Conference, 2023
2022
Towards EXtreme scale technologies and accelerators for euROhpc hw/Sw supercomputing applications for exascale: The TEXTAROSSA approach.
Microprocess. Microsystems, November, 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
3D-ICE 3.0: Efficient Nonlinear MPSoC Thermal Simulation With Pluggable Heat Sink Models.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
Sustain. Comput. Informatics Syst., 2022
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2022
A Mixed-Criticality Approach to Fault Tolerance: Integrating Schedulability and Failure Requirements.
Proceedings of the 28th IEEE Real-Time and Embedded Technology and Applications Symposium, 2022
ScaDL 2022 Invited Talk 1: Design of secure power monitors for accelerators, by exploiting ML techniques, in the Euro-HPC TEXTAROSSA project.
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2022
Proceedings of the 25th Euromicro Conference on Digital System Design, 2022
Proceedings of the CF '22: 19th ACM International Conference on Computing Frontiers, Turin, Italy, May 17, 2022
Feedforward temperature compensation in sub-microsecond wireless clock synchronisation.
Proceedings of the IEEE Conference on Control Technology and Applications, 2022
2021
Scripts for "Work-in-Progress: Run-time pWCET Estimation and Quality Monitoring" RTSS paper.
Dataset, December, 2021
Sustain. Comput. Informatics Syst., 2021
Automatic identification and hardware implementation of a resource-constrained power model for embedded systems.
Sustain. Comput. Informatics Syst., 2021
Predictive Reliability and Fault Management in Exascale Systems: State of the Art and Perspectives.
ACM Comput. Surv., 2021
Proceedings of the 42nd IEEE Real-Time Systems Symposium, 2021
Proceedings of the 42nd IEEE Real-Time Systems Symposium, 2021
Proceedings of the Second Workshop on Next Generation Real-Time Embedded Systems, 2021
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021
TEXTAROSSA: Towards EXtreme scale Technologies and Accelerators for euROhpc hw/Sw Supercomputing Applications for exascale.
Proceedings of the 24th Euromicro Conference on Digital System Design, 2021
Proceedings of the CF '21: Computing Frontiers Conference, 2021
2020
WCET benchmarks test on STM32, Raspberry PI/Linux and Raspberry PI/PREEMPT_RT dataset.
Dataset, September, 2020
Optimizing Energy in Non-Preemptive Mixed-Criticality Scheduling by Exploiting Probabilistic Information.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
All-Digital Control-Theoretic Scheme to Optimize Energy Budget and Allocation in Multi-Cores.
IEEE Trans. Computers, 2020
Microprocess. Microsystems, 2020
Guest editorial: Special issue on intelligent embedded systems architectures and applications (INTESA).
Microprocess. Microsystems, 2020
Microprocess. Microsystems, 2020
IEEE Embed. Syst. Lett., 2020
Efficient and Scalable FPGA-Oriented Design of QC-LDPC Bit-Flipping Decoders for Post-Quantum Cryptography.
IEEE Access, 2020
Flexible and Scalable FPGA-Oriented Design of Multipliers for Large Binary Polynomials.
IEEE Access, 2020
IEEE Access, 2020
Tiny Neural Networks for Environmental Predictions: An Integrated Approach with Miosix.
Proceedings of the IEEE International Conference on Smart Computing, 2020
VGM-Bench: FPU Benchmark Suite for Computer Vision, Computer Graphics and Machine Learning Applications.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2020
Proceedings of the Workshop on Next Generation Real-Time Embedded Systems, 2020
Proceedings of the 20th International Conference on Embedded Software, 2020
Proceedings of the 23rd Euromicro Conference on Digital System Design, 2020
Dynamic Thermal Management with Proactive Fan Speed Control Through Reinforcement Learning.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the Constructive Side-Channel Analysis and Secure Design, 2020
2019
IEEE Embed. Syst. Lett., 2019
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019
Predictive Resource Management for Next-Generation High-Performance Computing Heterogeneous Platforms.
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2019
Partial Packet Forwarding to Improve Performance in Fully Adaptive Routing for Cache-Coherent NoCs.
Proceedings of the 27th Euromicro International Conference on Parallel, 2019
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019
Evaluating the Trade-offs in the Hardware Design of the LEDAcrypt Encryption Functions.
Proceedings of the 26th IEEE International Conference on Electronics, Circuits and Systems, 2019
Proceedings of the Fourth International Conference on Fog and Mobile Edge Computing, 2019
Proceedings of the International Conference on Embedded Software Companion, 2019
Proceedings of the 22nd Euromicro Conference on Digital System Design, 2019
Proceedings of the International Conference on Omni-Layer Intelligent Systems, 2019
2018
A Comprehensive Side-Channel Information Leakage Analysis of an In-Order RISC CPU Microarchitecture.
ACM Trans. Design Autom. Electr. Syst., 2018
Event-Based Power/Performance-Aware Thermal Management for High-Density Microprocessors.
IEEE Trans. Control. Syst. Technol., 2018
DarkCache: Energy-Performance Optimization of Tiled Multi-Cores by Adaptively Power-Gating LLC Banks.
ACM Trans. Archit. Code Optim., 2018
Microprocess. Microsystems, 2018
Exploring manycore architectures for next-generation HPC systems through the MANGO approach.
Microprocess. Microsystems, 2018
J. Open Source Softw., 2018
Reliable power and time-constraints-aware predictive management of heterogeneous exascale systems.
Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, 2018
Proceedings of the 2018 IEEE Real-Time Systems Symposium, 2018
Proceedings of the 9th Workshop on Parallel Programming and RunTime Management Techniques for Manycore Architectures and 7th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2018
Proceedings of the 9th Workshop on Parallel Programming and RunTime Management Techniques for Manycore Architectures and 7th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2018
Proceedings of the Workshop on INTelligent Embedded Systems Architectures and Applications, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the 15th ACM International Conference on Computing Frontiers, 2018
2017
Microprocess. Microsystems, 2017
CONTREX: Design of embedded mixed-criticality CONTRol systems under consideration of EXtra-functional properties.
Microprocess. Microsystems, 2017
J. Parallel Distributed Comput., 2017
Proceedings of the 2017 International Conference on Embedded Computer Systems: Architectures, 2017
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
Proceedings of the International Conference on Algorithms, Computing and Systems, Jeju Island, Republic of Korea, August 10, 2017
Mixed Time-Criticality Process Interferences Characterization on a Multicore Linux System.
Proceedings of the Euromicro Conference on Digital System Design, 2017
Proceedings of the Euromicro Conference on Digital System Design, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
2016
A DVFS Cycle Accurate Simulation Framework with Asynchronous NoC Design for Power-Performance Optimizations.
J. Signal Process. Syst., 2016
IEEE Trans. Parallel Distributed Syst., 2016
Co-scheduling tasks on multi-core heterogeneous systems: An energy-aware perspective.
IET Comput. Digit. Tech., 2016
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Proceedings of the 23rd European MPI Users' Group Meeting, EuroMPI 2016, 2016
Proceedings of the 7th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 5th Workshop on Design Tools and Architectures For Multicore Embedded Computing Platforms, 2016
Using an adaptive and time predictable runtime system for power-aware HPC-oriented applications.
Proceedings of the Seventh International Green and Sustainable Computing Conference, 2016
Demo: A High-Performance, Energy-Efficient Node for a Wide Range of WSN Applications.
Proceedings of the International Conference on Embedded Wireless Systems and Networks, 2016
Proceedings of the Second International Conference on Event-based Control, 2016
CONTREX: Design of Embedded Mixed-Criticality CONTRol Systems under Consideration of EXtra-Functional Properties.
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 2016 Euromicro Conference on Digital System Design, 2016
Proceedings of the 1st International Workshop on RESource Awareness and Application Auto-tuning in Adaptive and heterogeNeous compuTing co-located with 19th International Conference on Design, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
Effective Runtime Resource Management Using Linux Control Groups with the BarbequeRTRM Framework.
ACM Trans. Embed. Comput. Syst., 2015
A control-based methodology for power-performance optimization in NoCs exploiting DVFS.
J. Syst. Archit., 2015
ACM J. Emerg. Technol. Comput. Syst., 2015
CoRR, 2015
Proceedings of the Conference on Summer Computer Simulation, 2015
HARPA: Solutions for dependable performance under physically induced performance variability.
Proceedings of the 2015 International Conference on Embedded Computer Systems: Architectures, 2015
Proceedings of the 2015 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, 2015
Flood Prediction Model Simulation With Heterogeneous Trade-Offs In High Performance Computing Framework.
Proceedings of the 29th European Conference on Modelling and Simulation, 2015
Proceedings of the International Conference on Event-based Control, 2015
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
Playful Supervised Smart Spaces (P3S) - A Framework for Designing, Implementing and Deploying Multisensory Play Experiences for Children with Special Needs.
Proceedings of the 2015 Euromicro Conference on Digital System Design, 2015
Proceedings of the 18th IEEE International Conference on Computational Science and Engineering, 2015
2014
An optimal model to partition the evolution of periodic tasks in wireless sensor networks.
Proceedings of the Proceeding of IEEE International Symposium on a World of Wireless, 2014
Combining application adaptivity and system-wide Resource Management on multi-core platforms.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014
OpenCL Application Auto-tuning and Run-Time Resource Management for Multi-core Platforms.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014
Extending a Run-time Resource Management framework to support OpenCL and Heterogeneous Systems.
Proceedings of the 5th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 3rd Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2014
Exploiting Performance Counters for Energy Efficient Co-Scheduling of Mixed Workloads on Multi-Core Platforms.
Proceedings of the 5th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures and the 3rd Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2014
Data Parallel Application Adaptivity and System-Wide Resource Management in Many-Core Architectures.
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014
2013
The COMPLEX reference framework for HW/SW co-design and power management supporting platform-based design-space exploration.
Microprocess. Microsystems, 2013
Optimal hibernation policies for energy efficient stateful operation in high-end wireless sensor nodes.
Proceedings of the IEEE 14th International Symposium on "A World of Wireless, 2013
Proceedings of the 12th IEEE International Conference on Trust, 2013
An analytical, dynamic, power-performance router model for run-time NoC optimizations.
Proceedings of the 2013 IEEE International SOC Conference, Erlangen, Germany, 2013
Adaptive routing and Dynamic Frequency Scaling for NoC power-performance optimizations.
Proceedings of the 2013 23rd International Workshop on Power and Timing Modeling, 2013
Proceedings of the 6th Joint IFIP Wireless and Mobile Networking Conference, 2013
Proceedings of the 2013 International Symposium on System on Chip, 2013
Proceedings of the 2013 Interconnection Network Architecture: On-Chip, Multi-Chip, 2013
Performance/reliability trade-off in superscalar processors for aggressive NBTI restoration of functional units.
Proceedings of the Great Lakes Symposium on VLSI 2013 (part of ECRC), 2013
A Formal Model for Optimal Autonomous Task Hibernation in Constrained Embedded Systems.
Proceedings of the 2013 Euromicro Conference on Digital System Design, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Architecture of Computing Systems - ARCS 2013, 2013
2012
Proceedings of the Methodologies and Technologies for Networked Enterprises, 2012
Proceedings of the IEEE 25th International SOC Conference, 2012
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012
Proceedings of the 11th IFAC Conference on Programmable Devices and Embedded Systems, 2012
A Temperature and Reliability Oriented Simulation Framework for Multi-core Architectures.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2012
Proceedings of the 2012 International Symposium on System on Chip, 2012
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the 2012 International Conference on High Performance Computing & Simulation, 2012
Parallel paradigms and run-time management techniques for many-core architectures: the 2PARMA approach.
Proceedings of the 2012 Interconnection Network Architecture, 2012
Proceedings of the Great Lakes Symposium on VLSI 2012, 2012
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
Software energy optimization through fine-grained function-level voltage and frequency scaling.
Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, 2012
A Low-Overhead Heuristic for Mixed Workload Resource Partitioning in Cluster-Based Architectures.
Proceedings of the Architecture of Computing Systems - ARCS 2012 - 25th International Conference, Munich, Germany, February 28, 2012
2011
Invited paper: Parallel programming and run-time resource management framework for many-core platforms: The 2PARMA approach.
Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, 2011
Software energy estimation based on statistical characterization of intermediate compilation code.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the ARCS 2011, 2011
Proceedings of the Multi-objective Design Space Exploration of Multiprocessor SoC Architectures, 2011
Proceedings of the Multi-objective Design Space Exploration of Multiprocessor SoC Architectures, 2011
2010
Proceedings of the VLSI 2010 Annual Symposium - Selected papers, 2010
2PARMA: Parallel Paradigms and Run-Time Management Techniques for Many-Core Architectures.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
2PARMA: Parallel Paradigms and Run-time Management Techniques for Many-Core Architectures.
Proceedings of the VLSI 2010 Annual Symposium - Selected papers, 2010
Proceedings of the Computer Performance Engineering, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
A Hierarchical Distributed Control for Power and Performances Optimization of Embedded Systems.
Proceedings of the Architecture of Computing Systems, 2010
CPM: A Cross-Layer Framework to Efficiently Support Distributed Resources Management.
Proceedings of the ARCS '10, 2010
2009
A Framework for Compile-time and Run-time Management of Non-functional Aspects in WSNs Nodes.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009
Predictive models for multimedia applications power consumption based on use-case and OS level analysis.
Proceedings of the Design, Automation and Test in Europe, 2009
2008
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
Proceedings of the 11th Euromicro Conference on Digital System Design: Architectures, 2008
2006
IEEE Trans. Computers, 2006
2005
Proceedings of the 2005 Design, 2005
2004
Proceedings of the Integrated Circuit and System Design, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the First Conference on Computing Frontiers, 2004
Proceedings of the Ultra Low-Power Electronics and Design, 2004
2003
Partitioning of Embedded Applications onto Heterogeneous Multiprocessor Architectures.
Proceedings of the 2003 ACM Symposium on Applied Computing (SAC), 2003
An Internal Representation Model for System-Level Co-Design of Heterogeneous Multiprocessor Embedded System.
Proceedings of the Forum on specification and Design Languages, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2003
2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
J. Circuits Syst. Comput., 2002
Des. Autom. Embed. Syst., 2002
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Metrics for design space exploration of heterogeneous multiprocessor embedded systems.
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, 2002
2001
Determining the Optimum Extended Instruction-Set Architecture for Application Specific Reconfigurable VLIW CPUs.
Proceedings of the 12th IEEE International Workshop on Rapid System Prototyping (RSP 2001), 2001
An Agent-Based Approach to Full Interoperability and Allocation Transparency in Distributed File Systems.
Proceedings of the Mobile Agents for Telecommunication Applications, 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Fast system-level exploration of memory architectures driven by energy-delay metrics.
Proceedings of the 2001 International Symposium on Circuits and Systems, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001
2000
A Case Study in Design Space Exploration: The Tosca Environment Applied to a Telecommunication Link Controller.
IEEE Des. Test Comput., 2000
Proceedings of the 13th International Symposium on System Synthesis, 2000
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2000
Determining the optimum extended instruction-set architecture for application specific reconfigurable VLIW CPUs (poster abstract).
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2000
An instruction-level functionally-based energy estimation model for 32-bits microprocessors.
Proceedings of the 37th Conference on Design Automation, 2000
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000
Proceedings of the Eighth International Workshop on Hardware/Software Codesign, 2000
1999
Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study.
Proceedings of the IEEE International Conference On Computer Design, 1999
Influence of Caching and Encoding on Power Dissipation of System-Level Buses for Embedded Systems.
Proceedings of the 1999 Design, 1999
Proceedings of the 1999 Design, 1999
Power estimation for architectural exploration of HW/SW communication on system-level buses.
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999
Proceedings of the Reliable Software Technologies, 1999
1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
Integr. Comput. Aided Eng., 1998
Proceedings of the 11th International Symposium on System Synthesis, 1998
Proceedings of the Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30, 1998
Proceedings of the International Conference on Computer Design: VLSI in Computers and Processors, 1998
Proceedings of the 1998 Design, 1998
1997
J. Syst. Archit., 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the Fifth International Workshop on Hardware/Software Codesign, 1997
1996
Des. Autom. Embed. Syst., 1996
The Use of a Virtual Instruction Set for the Software Synthesis of HW/SW Embedded Systems.
Proceedings of the 9th International Symposium on System Synthesis, 1996
Proceedings of the Forth International Workshop on Hardware/Software Codesign, 1996
1995
IEEE Trans. Very Large Scale Integr. Syst., 1995
Proceedings of International Conference on Neural Networks (ICNN'95), Perth, WA, Australia, November 27, 1995
1994
Proceedings of the Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1994
Proceedings of the Proceedings EURO-DAC'94, 1994
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994
1993
Microprocess. Microprogramming, 1993
Int. J. Circuit Theory Appl., 1993
1990
APES - Implementation of a CAD tool for array processor design: Textual definition versus graphic description.
Microprocessing and Microprogramming, 1990