Wen-Hao Liu
Orcid: 0009-0000-7533-2497Affiliations:
- NVIDIA, Hsinchu, Taiwan
According to our database1,
Wen-Hao Liu
authored at least 39 papers
between 2009 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024
Proceedings of the 2024 International Symposium on Physical Design, 2024
Proceedings of the 2024 International Symposium on Physical Design, 2024
2022
Timing-Aware Layer Assignment for Advanced Process Technologies Considering via Pillars.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
In-Route Pin Access-Driven Placement Refinement for Improved Detailed Routing Convergence.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
SPTA: A Scalable Parallel ILP-Based Track Assignment Algorithm with Two-Stage Partition.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022
LA-SVR: A High-Performance Layer Assignment Algorithm with Slew Violations Reduction.
Proceedings of the 30th IFIP/IEEE 30th International Conference on Very Large Scale Integration, 2022
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
2020
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
MiniDelay: Multi-Strategy Timing-Aware Layer Assignment for Advanced Technology Nodes.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
ISPD 2019 Initial Detailed Routing Contest and Benchmark with Advanced Routing Rules.
Proceedings of the 2019 International Symposium on Physical Design, 2019
Latency constraint guided buffer sizing and layer assignment for clock trees with useful skew.
Proceedings of the 24th Asia and South Pacific Design Automation Conference, 2019
2018
MrDP: Multiple-Row Detailed Placement of Heterogeneous-Sized Cells for Advanced Nodes.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Proceedings of the 2018 International Symposium on Physical Design, 2018
2017
Proceedings of the 22nd Asia and South Pacific Design Automation Conference, 2017
2016
Proceedings of the 21st Asia and South Pacific Design Automation Conference, 2016
2015
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
2014
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014
ISPD 2014 benchmarks with sub-45nm technology rules for detailed-routing-driven placement.
Proceedings of the International Symposium on Physical Design, 2014
Proceedings of the International Symposium on Physical Design, 2014
A resource-level parallel approach for global-routing-based routing congestion estimation and a method to quantify estimation accuracy.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2014
Proceedings of the Great Lakes Symposium on VLSI 2014, GLSVLSI '14, Houston, TX, USA - May 21, 2014
Metal layer planning for silicon interposers with consideration of routability and manufacturing cost.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
2013
NCTU-GR 2.0: Multithreaded Collision-Aware Global Routing With Bounded-Length Maze Routing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Case study for placement solutions in ispd11 and dac12 routability-driven placement contests.
Proceedings of the International Symposium on Physical Design, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
2012
NCTU-GR: Efficient Simulated Evolution-Based Rerouting and Congestion-Relaxed Layer Assignment on 3-D Global Routing.
IEEE Trans. Very Large Scale Integr. Syst., 2012
Optimizing the antenna area and separators in layer assignment of multi-layer global routing.
Proceedings of the International Symposium on Physical Design, 2012
A fast maze-free routing congestion estimator with hybrid unilateral monotonic routing.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Topology-aware buffer insertion and GPU-based massively parallel rerouting for ECO timing optimization.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012
2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010
2009
Efficient simulated evolution based rerouting and congestion-relaxed layer assignment on 3-D global routing.
Proceedings of the 14th Asia South Pacific Design Automation Conference, 2009