Wayne Luk
Orcid: 0000-0002-6750-927XAffiliations:
- Imperial College London, UK
- Oxford University, Computing Laboratory, UK
According to our database1,
Wayne Luk
authored at least 670 papers
between 1990 and 2024.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2009, "For contributions to reconfigurable computing".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on doc.ic.ac.uk
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Parallel Distributed Syst., December, 2024
IEEE Trans. Neural Networks Learn. Syst., June, 2024
IEEE J. Emerg. Sel. Topics Circuits Syst., June, 2024
ACM Trans. Embed. Comput. Syst., March, 2024
IEEE Trans. Circuits Syst. II Express Briefs, March, 2024
High-Frequency Financial Market Simulation and Flash Crash Scenarios Analysis: An Agent-Based Modelling Approach.
J. Artif. Soc. Soc. Simul., 2024
CoRR, 2024
CoRR, 2024
Hardware-Aware Parallel Prompt Decoding for Memory-Efficient Acceleration of LLM Inference.
CoRR, 2024
Proceedings of the IEEE International Test Conference in Asia, 2024
Circular Reconfigurable Parallel Processor for Edge Computing : Industrial Product ✶.
Proceedings of the 51st ACM/IEEE Annual International Symposium on Computer Architecture, 2024
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
Accelerating Large-Scale Graph Processing with FPGAs: Lesson Learned and Future Directions.
Proceedings of the 15th Workshop on Parallel Programming and Run-Time Management Techniques for Many-Core Architectures and 13th Workshop on Design Tools and Architectures for Multicore Embedded Computing Platforms, 2024
Proceedings of the 14th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2024
Proceedings of the 34th International Conference on Field-Programmable Logic and Applications, 2024
Proceedings of the 32nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2024
Proceedings of the 35th IEEE International Conference on Application-specific Systems, 2024
2023
Advancements in spiking neural network communication and synchronization techniques for event-driven neuromorphic systems.
Array, December, 2023
ACM Trans. Embed. Comput. Syst., November, 2023
High-Performance Acceleration of 2-D and 3-D CNNs on FPGAs Using Static Block Floating Point.
IEEE Trans. Neural Networks Learn. Syst., August, 2023
IEEE Trans. Parallel Distributed Syst., May, 2023
Remarn: A Reconfigurable Multi-threaded Multi-core Accelerator for Recurrent Neural Networks.
ACM Trans. Reconfigurable Technol. Syst., March, 2023
Using Agent-Based Modelling to Evaluate the Impact of Algorithmic Curation on Social Media.
ACM J. Data Inf. Qual., March, 2023
Event-based high throughput computing: A series of case studies on a massively parallel softcore machine.
IET Comput. Digit. Tech., January, 2023
When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA.
CoRR, 2023
Proceedings of the 4th ACM International Conference on AI in Finance, 2023
Extensible Embedded Hardware Description Languages with Compilation, Simulation and Verification.
Proceedings of the 13th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2023
Proceedings of the 13th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2023
Proceedings of the International Conference on Field Programmable Technology, 2023
MetaML: Automating Customizable Cross-Stage Design-Flow for Deep Learning Acceleration.
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023
Proceedings of the 33rd International Conference on Field-Programmable Logic and Applications, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
When Monte-Carlo Dropout Meets Multi-Exit: Optimizing Bayesian Neural Networks on FPGA.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 15th IEEE International Conference on ASIC, 2023
Proceedings of the 34th IEEE International Conference on Application-specific Systems, 2023
2022
IEEE Trans. Very Large Scale Integr. Syst., 2022
ACM Trans. Reconfigurable Technol. Syst., 2022
IEEE Trans. Parallel Distributed Syst., 2022
IEEE Trans. Neural Networks Learn. Syst., 2022
IEEE Trans. Circuits Syst. II Express Briefs, 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
CoRR, 2022
A fully-customized dataflow engine for 3D earthquake simulation with a complex topography.
Sci. China Inf. Sci., 2022
Adaptable Butterfly Accelerator for Attention-based NNs via Hardware and Algorithm Co-design.
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the HEART 2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba, Japan, June 9, 2022
Proceedings of the HEART 2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba, Japan, June 9, 2022
Proceedings of the HEART 2022: International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, Tsukuba, Japan, June 9, 2022
Accelerating Transformer Neural Networks on FPGAs for High Energy Physics Experiments.
Proceedings of the International Conference on Field-Programmable Technology, 2022
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022
Proceedings of the 32nd International Conference on Field-Programmable Logic and Applications, 2022
Proceedings of the FPGA '22: The 2022 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022, 2022
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Enabling fast uncertainty estimation: accelerating bayesian transformers via algorithmic and hardware optimizations.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the 27th Asia and South Pacific Design Automation Conference, 2022
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2022
Light-Weight Permutation Generator for Efficient Convolutional Neural Network Data Augmentation.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2022
Reconfigurable Acceleration of Graph Neural Networks for Jet Identification in Particle Physics.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
Proceedings of the Machine Learning under Resource Constraints - Volume 1: Fundamentals, 2022
2021
ACM Trans. Reconfigurable Technol. Syst., 2021
IEEE Trans. Computers, 2021
CoRR, 2021
CoRR, 2021
Performance-aware programming for intraoperative intensity-based image registration on graphics processing units.
Int. J. Comput. Assist. Radiol. Surg., 2021
Proceedings of the 33rd IEEE International Symposium on Computer Architecture and High Performance Computing, 2021
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2021
Can We Stop Fake News? Using Agent-Based Modelling to Evaluate Countermeasures for Misinformation on Social Media.
Proceedings of the Workshop Proceedings of the 15th International AAAI Conference on Web and Social Media, 2021
Neuromorphic Design Using Reward-based STDP Learning on Event-Based Reconfigurable Cluster Architecture.
Proceedings of the ICONS 2021: International Conference on Neuromorphic Systems 2021, 2021
Proceedings of the 39th IEEE International Conference on Computer Design, 2021
Proceedings of the International Conference on Field-Programmable Technology, 2021
Proceedings of the International Conference on Field-Programmable Technology, 2021
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
Systematically migrating an operational microphysics parameterisation to FPGA technology.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
Flexible Instrumentation for Live On-Chip Debug of Machine Learning Training on FPGAs.
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the 32nd IEEE International Conference on Application-specific Systems, 2021
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021
2020
An Analysis of Alternating Direction Method of Multipliers for Feed-forward Neural Networks.
CoRR, 2020
An FPGA Accelerated Method for Training Feed-forward Neural Networks Using Alternating Direction Method of Multipliers and LSMR.
CoRR, 2020
High performance reconfigurable computing for numerical simulation and deep learning.
CCF Trans. High Perform. Comput., 2020
GeDi: applying suffix arrays to increase the repertoire of detectable SNVs in tumour genomes.
BMC Bioinform., 2020
Proceedings of the 4th ACM SIGPLAN International Workshop on Machine Learning and Programming Languages, 2020
Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, 2020
Proceedings of the International Conference on Field-Programmable Technology, 2020
Proceedings of the International Conference on Field-Programmable Technology, 2020
Proceedings of the International Conference on Field-Programmable Technology, 2020
Proceedings of the International Conference on Field-Programmable Technology, 2020
Proceedings of the International Conference on Field-Programmable Technology, 2020
Proceedings of the International Conference on Field-Programmable Technology, 2020
Optimizing FPGA-Based CNN Accelerator Using Differentiable Neural Architecture Search.
Proceedings of the 38th IEEE International Conference on Computer Design, 2020
Proceedings of the 30th International Conference on Field-Programmable Logic and Applications, 2020
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020
Proceedings of the FPGA '20: The 2020 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2020
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020
High-Throughput Convolutional Neural Network on an FPGA by Customized JPEG Compression.
Proceedings of the 28th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2020
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020
Proceedings of the 31st IEEE International Conference on Application-specific Systems, 2020
Improving Performance Estimation for FPGA-Based Accelerators for Convolutional Neural Networks.
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2020
Proceedings of the 17th IEEE/ACS International Conference on Computer Systems and Applications, 2020
2019
IEEE Trans. Parallel Distributed Syst., 2019
ACM Trans. Archit. Code Optim., 2019
A Real-Time Tree Crown Detection Approach for Large-Scale Remote Sensing Images on FPGAs.
Remote. Sens., 2019
Deep Neural Network Approximation for Custom Hardware: Where We've Been, Where We're Going.
ACM Comput. Surv., 2019
Proceedings of the 2019 International Conference on ReConFigurable Computing and FPGAs, 2019
Convolution Based Spectral Partitioning Architecture for Hyperspectral Image Classification.
Proceedings of the 2019 IEEE International Geoscience and Remote Sensing Symposium, 2019
Proceedings of the International Conference on Field-Programmable Technology, 2019
Proceedings of the International Conference on Field-Programmable Technology, 2019
Proceedings of the International Conference on Field-Programmable Technology, 2019
Proceedings of the International Conference on Field-Programmable Technology, 2019
Proceedings of the 2019 IEEE/CVF International Conference on Computer Vision Workshops, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019
Towards an Efficient Accelerator for DNN-Based Remote Sensing Image Segmentation on FPGAs.
Proceedings of the 29th International Conference on Field Programmable Logic and Applications, 2019
Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2019
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
Towards Efficient Deep Neural Network Training by FPGA-Based Batch-Level Parallelism.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
Proceedings of the 13th IEEE International Conference on ASIC, 2019
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019
F-E3D: FPGA-based Acceleration of an Efficient 3D Convolutional Neural Network for Human Action Recognition.
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019
Proceedings of the Applied Reconfigurable Computing - 15th International Symposium, 2019
2018
Run-time Reconfigurable Acceleration for Genetic Programming Fitness Evaluation in Trading Strategies.
J. Signal Process. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
Optimizing CNN-based Segmentation with Deeply Customized Convolutional and Deconvolutional Architectures on FPGA.
ACM Trans. Reconfigurable Technol. Syst., 2018
Correlation Coefficient Based Cluster Data Preprocessing and LSTM Prediction Model for Time Series Data in Large Aircraft Test Flights.
Proceedings of the Smart Computing and Communication - Third International Conference, 2018
Reconfigurable Hardware Generation for Tensor Flow Models of CNN Algorithms on a Heterogeneous Acceleration Platform.
Proceedings of the Smart Computing and Communication - Third International Conference, 2018
Custom machine learning architectures: towards realtime anomaly detection for flight testing.
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018
Proceedings of the 2018 IEEE International Parallel and Distributed Processing Symposium Workshops, 2018
Proceedings of the 9th International Symposium on Highly-Efficient Accelerators and Reconfigurable Technologies, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Proceedings of the International Conference on Field-Programmable Technology, 2018
Towards Efficient Convolutional Neural Network for Domain-Specific Applications on FPGA.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
Reconfigurable Acceleration of 3D-CNNs for Human Action Recognition with Block Floating-Point Representation.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
Automatic Optimising CNN with Depthwise Separable Convolution on FPGA: (Abstact Only).
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
A Low-Power Deconvolutional Accelerator for Convolutional Neural Network Based Segmentation on FPGA: Abstract Only.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
Proceedings of the 26th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2018
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018
Towards Hardware Accelerated Reinforcement Learning for Application-Specific Robotic Control.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018
From Tensor Algebra to Hardware Accelerators: Generating Streaming Architectures for Solving Partial Differential Equations.
Proceedings of the 29th IEEE International Conference on Application-specific Systems, 2018
2017
Lossless Compression Decoders for Bitstreams and Software Binaries Based on High-Level Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2017
ACM Trans. Reconfigurable Technol. Syst., 2017
ACM Trans. Reconfigurable Technol. Syst., 2017
IEEE Trans. Parallel Distributed Syst., 2017
IEEE ACM Trans. Comput. Biol. Bioinform., 2017
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE Trans. Computers, 2017
IEEE Micro, 2017
ADvaNCE - Efficient and Scalable Approximate Density-Based Clustering Based on Hashing.
Informatica, 2017
Exploiting the chaotic behaviour of atmospheric models with reconfigurable architectures.
Comput. Phys. Commun., 2017
Proceedings of the 2017 IEEE International Conference on Real-time Computing and Robotics, 2017
Proceedings of the 2017 IEEE Computer Society Annual Symposium on VLSI, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the International Conference on Field Programmable Technology, 2017
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Customised pearlmutter propagation: A hardware architecture for trust region policy optimisation.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Reconfigurable acceleration of genetic sequence alignment: A survey of two decades of efforts.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
Proceedings of the 25th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2017
Proceedings of the 28th IEEE International Conference on Application-specific Systems, 2017
Proceedings of the Applied Reconfigurable Computing - 13th International Symposium, 2017
Proceedings of the Applied Reconfigurable Computing - 13th International Symposium, 2017
Proceedings of the Applied Reconfigurable Computing - 13th International Symposium, 2017
Proceedings of the Provably Correct Systems, 2017
2016
Proceedings of the Self-aware Computing Systems - An Engineering Approach, 2016
Self-aware Hardware Acceleration of Financial Applications on a Heterogeneous Cluster.
Proceedings of the Self-aware Computing Systems - An Engineering Approach, 2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
Performance-driven instrumentation and mapping strategies using the LARA aspect-oriented programming approach.
Softw. Pract. Exp., 2016
EXTRA: Towards the exploitation of eXascale technology for reconfigurable architectures.
Proceedings of the 11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, 2016
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
Proceedings of the 26th International Conference on Field Programmable Logic and Applications, 2016
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016
Proceedings of the 27th IEEE International Conference on Application-specific Systems, 2016
Proceedings of the 27th IEEE International Conference on Application-specific Systems, 2016
Proceedings of the 27th IEEE International Conference on Application-specific Systems, 2016
A Scalable Dataflow Accelerator for Real Time Onboard Hyperspectral Image Classification.
Proceedings of the Applied Reconfigurable Computing - 12th International Symposium, 2016
Proceedings of the Advances in Databases and Information Systems, 2016
2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
ACM Trans. Reconfigurable Technol. Syst., 2015
Solving the Global Atmospheric Equations through Heterogeneous Reconfigurable Platforms.
ACM Trans. Reconfigurable Technol. Syst., 2015
ACM Trans. Reconfigurable Technol. Syst., 2015
SIGARCH Comput. Archit. News, 2015
FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration.
Microprocess. Microsystems, 2015
Int. J. Bio Inspired Comput., 2015
IEICE Trans. Inf. Syst., 2015
CoRR, 2015
Seeing Shapes in Clouds: On the Performance-Cost trade-off for Heterogeneous Infrastructure-as-a-Service.
CoRR, 2015
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium Workshop, 2015
Proceedings of the IEEE International Conference on Robotics and Automation, 2015
Lower precision for higher accuracy: Precision and resolution exploration for shallow water equations.
Proceedings of the 2015 International Conference on Field Programmable Technology, 2015
Proceedings of the 2015 International Conference on Field Programmable Technology, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015
Architectures and Precision Analysis for Modelling Atmospheric Variables with Chaotic Behaviour.
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015
Proceedings of the 23rd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
EXTRA: Towards an Efficient Open Platform for Reconfigurable High Performance Computing.
Proceedings of the 18th IEEE International Conference on Computational Science and Engineering, 2015
HW/SW Partitioning Algorithm Targeting MPSOC with Dynamic Partial Reconfigurable Fabric.
Proceedings of the 14th International Conference on Computer-Aided Design and Computer Graphics, 2015
Proceedings of the 26th IEEE International Conference on Application-specific Systems, 2015
2014
J. Signal Process. Syst., 2014
IEEE Trans. Very Large Scale Integr. Syst., 2014
A Self-Aware Tuning and Self-Aware Evaluation Method for Finite-Difference Applications in Reconfigurable Systems.
ACM Trans. Reconfigurable Technol. Syst., 2014
Hardware Acceleration for an Accurate Stereo Vision System Using Mini-Census Adaptive Support Region.
ACM Trans. Embed. Comput. Syst., 2014
Int. J. Reconfigurable Comput., 2014
A Domain Specific Approach to Heterogeneous Computing: From Availability to Accessibility.
CoRR, 2014
FPGA-Based Design Using the FASTER Toolchain: The Case of STM Spear Development Board.
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014
Proceedings of the IEEE International Symposium on Parallel and Distributed Processing with Applications, 2014
A Hybrid Genetic-Programming Swarm-Optimisation Approach for Examining the Nature and Stability of High Frequency Trading Strategies.
Proceedings of the 13th International Conference on Machine Learning and Applications, 2014
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Particle filtering-based Maximum Likelihood Estimation for financial parameter estimation.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
A highly-efficient and green data flow engine for solving euler atmospheric equations.
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 2014 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2014
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014
Proceedings of the Applications of Evolutionary Computation - 17th European Conference, 2014
Proceedings of the IEEE 25th International Conference on Application-Specific Systems, 2014
Proceedings of the IEEE 25th International Conference on Application-Specific Systems, 2014
Proceedings of the IEEE 25th International Conference on Application-Specific Systems, 2014
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014
Proceedings of the Reconfigurable Computing: Architectures, Tools, and Applications, 2014
2013
SPREAD: A Streaming-Based Partially Reconfigurable Architecture and Programming Model.
IEEE Trans. Very Large Scale Integr. Syst., 2013
Multiplierless Algorithm for Multivariate Gaussian Random Number Generation in FPGAs.
IEEE Trans. Very Large Scale Integr. Syst., 2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
Dimensionality Reduction in Controlling Articulated Snake Robot for Endoscopy Under Dynamic Active Constraints.
IEEE Trans. Robotics, 2013
SIGARCH Comput. Archit. News, 2013
Customisable pipelined engine for intensity evaluation in multivariate hawkes point processes.
SIGARCH Comput. Archit. News, 2013
SIGARCH Comput. Archit. News, 2013
Microprocess. Microsystems, 2013
J. Parallel Distributed Comput., 2013
Int. J. Comput. Sci. Eng., 2013
Proceedings of the Software Engineering and Formal Methods, 2013
A framework for effective exploitation of partial reconfiguration in dataflow computing.
Proceedings of the 2013 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2013
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2013
Proceedings of the 42nd International Conference on Parallel Processing, 2013
Dynamic Stencil: Effective exploitation of run-time resources in reconfigurable clusters.
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013
Proceedings of the 2013 International Conference on Field-Programmable Technology, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Accelerating solvers for global atmospheric equations through mixed-precision data flow engine.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
Parallelisation of Sequential Monte Carlo for real-time control in air traffic management.
Proceedings of the 52nd IEEE Conference on Decision and Control, 2013
Proceedings of the 24th International Conference on Application-Specific Systems, 2013
Proceedings of the 24th International Conference on Application-Specific Systems, 2013
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013
Heterogeneous Reconfigurable System for Adaptive Particle Filters in Real-Time Applications.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2013
2012
J. Signal Process. Syst., 2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
IEEE Trans. Computers, 2012
SIGARCH Comput. Archit. News, 2012
SIGARCH Comput. Archit. News, 2012
J. Parallel Distributed Comput., 2012
Reconfigurable FPGA-based switching path frequency-domain echo canceller with applications to voice control device.
Digit. Signal Process., 2012
Proceedings of the 7th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCoSoC), 2012
Proceedings of the 2012 International Conference on Reconfigurable Computing and FPGAs, 2012
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
Proceedings of the Artificial Neural Networks and Machine Learning - ICANN 2012, 2012
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Verification of streaming designs by combining symbolic simulation and equivalence checking.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Optimising explicit finite difference option pricing for dynamic constant reconfiguration.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Parallel FPGA-based all pairs shortest paths for sparse networks: A human brain connectome case study.
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Proceedings of the ACM/SIGDA 20th International Symposium on Field Programmable Gate Arrays, 2012
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012
FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration.
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 15th Euromicro Conference on Digital System Design, 2012
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012
Proceedings of the 15th IEEE International Conference on Computational Science and Engineering, 2012
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012
A Reconfigurable Computing Approach for Efficient and Scalable Parallel Graph Exploration.
Proceedings of the 23rd IEEE International Conference on Application-Specific Systems, 2012
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012
Multi-level Customisation Framework for Curve Based Monte Carlo Financial Simulations.
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012
Proceedings of the Companion Volume of the 11th International Conference on Aspect-oriented Software Development, 2012
Proceedings of the 11th International Conference on Aspect-oriented Software Development, 2012
2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
IEEE Trans. Very Large Scale Integr. Syst., 2011
IEEE Trans. Ind. Electron., 2011
Trans. High Perform. Embed. Archit. Compil., 2011
A Systematic Design Space Exploration Approach to Customising Multi-Processor Architectures: Exemplified Using Graphics Processors.
Trans. High Perform. Embed. Archit. Compil., 2011
SIGARCH Comput. Archit. News, 2011
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Proceedings of the 2011 International Conference on Reconfigurable Computing and FPGAs, 2011
Proceedings of the Latest Advances in Inductive Logic Programming, 2011
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
A framework for FPGA acceleration of large graph problems: Graphlet counting case study.
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
Reconfiguring Distributed Applications in FPGA Accelerated Cluster with Wireless Networking.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011
Proceedings of the International Conference on Field Programmable Logic and Applications, 2011
Proceedings of the ACM/SIGDA 19th International Symposium on Field Programmable Gate Arrays, 2011
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011
Proceedings of the 22nd IEEE International Conference on Application-specific Systems, 2011
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2011
2010
Benchmarking and evaluating reconfigurable architectures targeting the mobile domain.
ACM Trans. Design Autom. Electr. Syst., 2010
IEEE Trans. Computers, 2010
SIGARCH Comput. Archit. News, 2010
SIGARCH Comput. Archit. News, 2010
IEEE Micro, 2010
Int. J. Reconfigurable Comput., 2010
Int. J. Reconfigurable Comput., 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Dynamic scheduling Monte-Carlo framework for multi-accelerator heterogeneous clusters.
Proceedings of the International Conference on Field-Programmable Technology, 2010
Proceedings of the International Conference on Field-Programmable Technology, 2010
Proceedings of the International Conference on Field-Programmable Technology, 2010
Comparing performance and energy efficiency of FPGAs and GPUs for high productivity computing.
Proceedings of the International Conference on Field-Programmable Technology, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
An FPGA-specific algorithm for direct generation of multi-variate Gaussian random numbers.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
Proceedings of the Reconfigurable Computing: Architectures, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
ACM Trans. Reconfigurable Technol. Syst., 2009
IET Comput. Digit. Tech., 2009
EURASIP J. Embed. Syst., 2009
Design Validation by Symbolic Simulation and Equivalence Checking: A Case Study in Memory Optimization for Image Manipulation.
Proceedings of the SOFSEM 2009: Theory and Practice of Computer Science, 2009
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009
Proceedings of the 17th IEEE Symposium on High Performance Interconnects, 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
Exploring reconfigurable architectures for explicit finite difference option pricing models.
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
A comparison of CPUs, GPUs, FPGAs, and massively parallel processor arrays for random number generation.
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009
Proceedings of the FCCM 2009, 2009
Proceedings of the FCCM 2009, 2009
Proceedings of the FCCM 2009, 2009
Harnessing Human Computation Cycles for the FPGA Placement Problem.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, 2009
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009
Proceedings of the Reconfigurable Computing: Architectures, 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
A Synthesizable Datapath-Oriented Embedded FPGA Fabric for Silicon Debug Applications.
ACM Trans. Reconfigurable Technol. Syst., 2008
ACM Trans. Reconfigurable Technol. Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE Trans. Computers, 2008
J. Real Time Image Process., 2008
The Coarse-Grained/Fine-Grained Logic Interface in FPGAs with Embedded Floating-Point Arithmetic Units.
Int. J. Reconfigurable Comput., 2008
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008
Proceedings of the Tenth International Workshop on System-Level Interconnect Prediction (SLIP 2008), 2008
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008
Proceedings of the Integrated Circuit and System Design. Power and Timing Modeling, 2008
Proceedings of the Second International Symposium on Networks-on-Chips, 2008
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008
Proceedings of the FPL 2008, 2008
An analytical model describing the relationships between logic architecture and FPGA density.
Proceedings of the FPL 2008, 2008
Proceedings of the FPL 2008, 2008
Proceedings of the FPL 2008, 2008
Proceedings of the FPL 2008, 2008
Proceedings of the FPL 2008, 2008
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008
Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, 2008
Proceedings of the 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2008
Proceedings of the 16th IEEE International Symposium on Field-Programmable Custom Computing Machines, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the Visions of Computer Science, 2008
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008
Resource efficient generators for the floating-point uniform and exponential distributions.
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008
Proceedings of the Reconfigurable Computing: Architectures, 2008
Proceedings of the Reconfigurable Computing: Architectures, 2008
Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems, 2008
2007
High Quality Uniform Random Number Generation Using LUT Optimised State-transition Matrices.
J. VLSI Signal Process., 2007
J. VLSI Signal Process., 2007
IEEE Trans. Very Large Scale Integr. Syst., 2007
Hardware Generation of Arbitrary Random Number Distributions From Uniform Distributions Via the Inversion Method.
IEEE Trans. Very Large Scale Integr. Syst., 2007
Trans. High Perform. Embed. Archit. Compil., 2007
J. Real Time Image Process., 2007
IET Comput. Digit. Tech., 2007
Proceedings of the 30th Communicating Process Architectures Conference, 2007
Proceedings of the First International Symposium on Networks-on-Chips, 2007
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007
Proceedings of the 2007 International Conference on Field-Programmable Technology, 2007
Automatic Accuracy-Guaranteed Bit-Width Optimization for Fixed and Floating-Point Systems.
Proceedings of the FPL 2007, 2007
Proceedings of the FPL 2007, 2007
Proceedings of the ACM/SIGDA 15th International Symposium on Field Programmable Gate Arrays, 2007
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Automatic Generation and Optimisation of Reconfigurable Financial Monte-Carlo Simulations.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
Bridging the Gap between FPGAs and Multi-Processor Architectures: A Video Processing Perspective.
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
Proceedings of the Reconfigurable Computing: Architectures, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
A Hardware Gaussian Noise Generator Using the Box-Muller Method and Its Error Analysis.
IEEE Trans. Computers, 2006
Formal Aspects Comput., 2006
Proceedings of 2006 International Conference on Embedded Computer Systems: Architectures, 2006
Proceedings of the 2006 IEEE International Conference on Reconfigurable Computing and FPGA's, 2006
Proceedings of the 2006 IEEE Hot Chips 18 Symposium (HCS), 2006
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006
Inversion-based hardware gaussian random number generator: A case study of function evaluation via hierarchical segmentation.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006
Comparing floating-point and logarithmic number representations for reconfigurable acceleration.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006
The cost of data dependence in motion vector estimation for reconfigurable platforms.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006
Compiling Higher-Order Polymorphic Hardware Descriptions Into Parametrised VHDL Libraries with Flexible Placement Information.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006
Archlog: High-Level Synthesis of Reconfigurable Multiprocessors for Logic Programming.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006
Reconfigurable Acceleration of Robust Frequency-Domain Echo Cancellation.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 2006 International Conference on Compilers, 2006
Proceedings of the Reconfigurable Computing: Architectures and Applications, 2006
Proceedings of the Reconfigurable Computing: Architectures and Applications, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
Hardware/software codesign: a systematic approach targeting data-intensive applications.
IEEE Signal Process. Mag., 2005
High quality uniform random number generation for massively parallel simulations in FPGA.
Proceedings of the 2005 International Conference on Reconfigurable Computing and FPGAs, 2005
Proceedings of the 2005 International Conference on Reconfigurable Computing and FPGAs, 2005
Reconfigurable Acceleration for Monte Carlo Based Financial Simulation.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005
High Quality Uniform Random Number Generation Through LUT Optimised Linear Recurrences.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005
Custom Hardware Architectures for Posture Analysis.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005
An Overview of High-Level Synthesis of Multiprocessors for Logic Programming.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005
Have GPUs Made FPGAs Redundant in the Field of Video Processing?
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005
Dynamic Voltage Scaling for Commercial FPGAs.
Proceedings of the 2005 IEEE International Conference on Field-Programmable Technology, 2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Novel FPGA-Based Implementation of Median and Weighted Median Filters for Image Processing.
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Interleaving Behavioral and Cycle-Accurate Descriptions for Reconfigurable Hardware Compilation.
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005
Proceedings of the 13th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2005), 2005
Cell Based Motion Estimators for Reconfigurable Platforms.
Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2005
A Combined Hardware-Software Architecture for Network Flow.
Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the Correct Hardware Design and Verification Methods, 2005
Proceedings of the 2005 International Conference on Compilers, 2005
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005
2004
J. VLSI Signal Process., 2004
IEEE Trans. Computers, 2004
IEEE Trans. Computers, 2004
Proceedings of the Computer Systems: Architectures, 2004
Proceedings of the Computer Systems: Architectures, 2004
Proceedings of the 2004 International Symposium on System-on-Chip, 2004
Autonomous Memory Block for reconfigurable computing.
Proceedings of the 2004 International Symposium on Circuits and Systems, 2004
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004
Proceedings of the 2004 IEEE International Conference on Field-Programmable Technology, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004
Distinguished Paper: Automated Combination of Simulation and Hardware Prototyping.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Synthesis and optimization of DSP algorithms.
Kluwer, ISBN: 978-1-4020-7930-6, 2004
2003
ACM Trans. Design Autom. Electr. Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
Proceedings of the 2003 International Symposium on Circuits and Systems, 2003
Proceedings of the 36th Hawaii International Conference on System Sciences (HICSS-36 2003), 2003
Towards Verifying Parametrised Hardware Libraries with Relative Placement Information.
Proceedings of the 36th Hawaii International Conference on System Sciences (HICSS-36 2003), 2003
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003
Proceedings of the 2003 IEEE International Conference on Field-Programmable Technology, 2003
Cluster-Driven Hardware/Software Partitioning and Scheduling Approach for a Reconfigurable Computer System.
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Proceedings of the Field Programmable Logic and Application, 13th International Conference, 2003
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003
Proceedings of the 11th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2003), 2003
PyHDL: Hardware Scripting with Python.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, June 23, 2003
2002
Comparing Three Heuristic Search Methods for Functional Partitioning in Hardware-Software Codesign.
Des. Autom. Embed. Syst., 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the 2002 IEEE International Conference on Field-Programmable Technology, 2002
Proceedings of the Field-Programmable Logic and Applications, 2002
Image Registration of Real-Time Broadcast Video Using the UltraSONIC Reconfigurable Computer.
Proceedings of the Field-Programmable Logic and Applications, 2002
Proceedings of the Field-Programmable Logic and Applications, 2002
Compiling Hardware Descriptions with Relative Placement Information for Parametrised Libraries.
Proceedings of the Formal Methods in Computer-Aided Design, 4th International Conference, 2002
Tabu Search with Intensification Strategy for Functional Partitioning in Hardware-Software Codesign.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
Image Registration of Real-Time Video Data Using the SONIC Reconfigurable Computer Platform.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
2001
J. VLSI Signal Process., 2001
Proceedings of the 2001 8th IEEE International Conference on Electronics, 2001
Proceedings of the IEEE International Conference on Acoustics, 2001
Proceedings of the Field-Programmable Logic and Applications, 2001
Proceedings of the Field-Programmable Logic and Applications, 2001
Proceedings of the Field-Programmable Logic and Applications, 2001
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001
Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 2001
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
Towards Provably-Correct Hardware Compilation Tools Based on Pass Separation Techniques.
Proceedings of the Correct Hardware Design and Verification Methods, 2001
2000
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000
Proceedings of the Field-Programmable Logic and Applications, 2000
Proceedings of the Field-Programmable Logic and Applications, 2000
Proceedings of the Field-Programmable Logic and Applications, 2000
Proceedings of the Field-Programmable Logic and Applications, 2000
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000
Proceedings of the 2000 International Conference on Compilers, 2000
1999
Proceedings of the Field-Programmable Logic and Applications, 9th International Workshop, 1999
Proceedings of the Field-Programmable Logic and Applications, 9th International Workshop, 1999
Proceedings of the Field-Programmable Logic and Applications, 9th International Workshop, 1999
Proceedings of the Field-Programmable Logic and Applications, 9th International Workshop, 1999
Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, 1999
Proceedings of the 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 1999
Proceedings of the 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 1999
Proceedings of the 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 1999
Proceedings of the 7th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '99), 1999
1998
Proceedings of the Field-Programmable Logic and Applications, 1998
Proceedings of the Field-Programmable Logic and Applications, 1998
Proceedings of the Field-Programmable Logic and Applications, 1998
Proceedings of the 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98), 1998
1997
Formal Aspects Comput., 1997
Riley-2: A flexible platform for codesign and dynamic reconfigurable computing research.
Proceedings of the Field-Programmable Logic and Applications, 7th International Workshop, 1997
Proceedings of the Field-Programmable Logic and Applications, 7th International Workshop, 1997
Proceedings of the Field-Programmable Logic and Applications, 7th International Workshop, 1997
Proceedings of the 5th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97), 1997
1996
Formal Aspects Comput., 1996
Proceedings of the Field-Programmable Logic, 1996
Proceedings of the 4th IEEE Symposium on FPGAs for Custom Computing Machines (FCCM '96), 1996
1995
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995
Proceedings of the Field-Programmable Logic and Applications, 5th International Workshop, 1995
Proceedings of the 3rd IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '95), 1995
1994
Proceedings of the 1994 International Conference on Robotics and Automation, 1994
Proceedings of the Field-Programmable Logic, 1994
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994
Proceedings of the International Symposium on Advanced Research in Asynchronous Circuits and Systems, 1994
1993
1992
Proceedings of the Application Specific Array Processors, 1992
1991
Proceedings of the Application Specific Array Processors, 1991
1990
Proceedings of the Application Specific Array Processors, 1990