Vivek Chickermane
Orcid: 0000-0003-1232-470X
According to our database1,
Vivek Chickermane
authored at least 48 papers
between 1990 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
IEEE Des. Test, August, 2024
2022
Proceedings of the IEEE International Test Conference, 2022
2020
IEEE Des. Test, 2020
2019
Observation Point Placement for Improved Logic Diagnosis based on Large Sets of Candidate Faults.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019
Optimized Physical DFT Synthesis of Unified Compression and LBIST for Automotive Applications.
Proceedings of the IEEE International Test Conference, 2019
2017
Proceedings of the IEEE International Test Conference, 2017
2015
A DfT Architecture and Tool Flow for 3-D SICs With Test Data Compression, Embedded Cores, and Multiple Towers.
IEEE Des. Test, 2015
A Novel Failure Diagnosis Approach for Low Pin Count and Low Power Compression Architectures.
Proceedings of the 24th IEEE North Atlantic Test Workshop, 2015
Proceedings of the 24th IEEE Asian Test Symposium, 2015
2014
Proceedings of the 32nd IEEE VLSI Test Symposium, 2014
Tutorial T3A: Testing Low-Power Integrated Circuits: Challenges, Solutions, and Industry Practices.
Proceedings of the 2014 27th International Conference on VLSI Design, 2014
Proceedings of the 2014 International Test Conference, 2014
2013
Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study.
Proceedings of the 2013 IEEE International Test Conference, 2013
Proceedings of the 2013 IEEE International Test Conference, 2013
Automated DfT insertion and test generation for 3D-SICs with embedded cores and multiple towers.
Proceedings of the 18th IEEE European Test Symposium, 2013
2012
DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks.
Proceedings of the 2012 IEEE International Test Conference, 2012
2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
2010
Low cost at-speed testing using On-Product Clock Generation compatible with test compression.
Proceedings of the 2011 IEEE International Test Conference, 2010
2009
Proceedings of the 2009 IEEE International Test Conference, 2009
Proceedings of the Eighteentgh Asian Test Symposium, 2009
2008
Proceedings of the 2008 IEEE International Test Conference, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
2007
Proceedings of the 16th Asian Test Symposium, 2007
2006
Proceedings of the 15th Asian Test Symposium, 2006
Early Life Cycle Yield Learning for Nanometer Devices Using Volume Yield Diagnostics Analysis.
Proceedings of the 15th Asian Test Symposium, 2006
Proceedings of the 15th Asian Test Symposium, 2006
2005
Low Cost Delay Testing of Nanometer SoCs Using On-Chip Clocking and Test Compression.
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
2001
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
2000
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000
1997
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997
1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
1995
IEEE Trans. Very Large Scale Integr. Syst., 1995
1994
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1994
1993
Proceedings of the 11th IEEE VLSI Test Symposium (VTS'93), 1993
Proceedings of the 30th Design Automation Conference. Dallas, 1993
1992
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992
A comparative study of design for testability methods using high-level and gate-level descriptions.
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992
Proceedings of the 29th Design Automation Conference, 1992
1991
Proceedings of the 1991 IEEE/ACM International Conference on Computer-Aided Design, 1991
Proceedings of the conference on European design automation, 1991
1990
Proceedings of the Proceedings IEEE International Test Conference 1990, 1990