Vikas Chandra
Orcid: 0009-0005-4996-8455
According to our database1,
Vikas Chandra
authored at least 134 papers
between 2002 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
High Fidelity Text-Guided Music Generation and Editing via Single-Stage Flow Matching.
CoRR, 2024
Basis Selection: Low-Rank Decomposition of Pretrained Large Language Models for Target Applications.
CoRR, 2024
Not All Weights Are Created Equal: Enhancing Energy Efficiency in On-Device Streaming Speech Recognition.
CoRR, 2024
SteinDreamer: Variance Reduction for Text-to-3D Score Distillation via Stein Identity.
CoRR, 2024
Proceedings of the Forty-first International Conference on Machine Learning, 2024
TODM: Train Once Deploy Many Efficient Supernet-Based RNN-T Compression For On-Device ASR Models.
Proceedings of the IEEE International Conference on Acoustics, 2024
Folding Attention: Memory and Power Optimization for On-Device Transformer-Based Streaming Speech Recognition.
Proceedings of the IEEE International Conference on Acoustics, 2024
Proceedings of the IEEE International Conference on Acoustics, 2024
Proceedings of the IEEE International Conference on Acoustics, 2024
Proceedings of the IEEE International Conference on Acoustics, 2024
Proceedings of the 2024 Conference on Empirical Methods in Natural Language Processing, 2024
MVDiffusion++: A Dense High-Resolution Multi-view Diffusion Model for Single or Sparse-View 3D Object Reconstruction.
Proceedings of the Computer Vision - ECCV 2024, 2024
Proceedings of the Computer Vision - ECCV 2024, 2024
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2024
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2024
Proceedings of the Findings of the Association for Computational Linguistics, 2024
Mixture-of-Supernets: Improving Weight-Sharing Supernet Training with Architecture-Routed Mixture-of-Experts.
Proceedings of the Findings of the Association for Computational Linguistics, 2024
Proceedings of the International Conference on 3D Vision, 2024
2023
MiniGPT-v2: large language model as a unified interface for vision-language multi-task learning.
CoRR, 2023
Enhance audio generation controllability through representation similarity regularization.
CoRR, 2023
Folding Attention: Memory and Power Optimization for On-Device Transformer-based Streaming Speech Recognition.
CoRR, 2023
XRBench: An Extended Reality (XR) Machine Learning Benchmark Suite for the Metaverse.
Proceedings of the Sixth Conference on Machine Learning and Systems, 2023
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), 2023
Proceedings of the Findings of the Association for Computational Linguistics: ACL 2023, 2023
2022
ACM Trans. Design Autom. Electr. Syst., 2022
Three-Dimensional Stacked Neural Network Accelerator Architectures for AR/VR Applications.
IEEE Micro, 2022
CoRR, 2022
CoRR, 2022
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer Vision Workshops, 2022
Proceedings of the 23rd Annual Conference of the International Speech Communication Association, 2022
DepthShrinker: A New Compression Paradigm Towards Boosting Real-Hardware Efficiency of Compact Neural Networks.
Proceedings of the International Conference on Machine Learning, 2022
NASViT: Neural Architecture Search for Efficient Vision Transformers with Gradient Conflict aware Supernet Training.
Proceedings of the Tenth International Conference on Learning Representations, 2022
Omni-Sparsity DNN: Fast Sparsity Optimization for On-Device Streaming E2E ASR Via Supernet.
Proceedings of the IEEE International Conference on Acoustics, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2022
Proceedings of the International Conference on Automated Machine Learning, 2022
2021
Proceedings of the MM '21: ACM Multimedia Conference, Virtual Event, China, October 20, 2021
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2021
Proceedings of the 22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30, 2021
Proceedings of the 38th International Conference on Machine Learning, 2021
Double-Win Quant: Aggressively Winning Robustness of Quantized Deep Neural Networks via Random Precision Training and Inference.
Proceedings of the 38th International Conference on Machine Learning, 2021
Proceedings of the 9th International Conference on Learning Representations, 2021
Proceedings of the IEEE International Conference on Acoustics, 2021
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2021
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
Improving Efficiency in Neural Network Accelerator using Operands Hamming Distance Optimization.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021
2020
CoRR, 2020
Improving Efficiency in Neural Network Accelerator Using Operands Hamming Distance optimization.
CoRR, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Proceedings of the Computer Vision - ECCV 2020 Workshops, 2020
Co-Exploration of Neural Architectures and Heterogeneous ASIC Accelerator Designs Targeting Multiple Tasks.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
CoRR, 2019
2018
Bit Fusion: Bit-Level Dynamically Composable Architecture for Accelerating Deep Neural Network.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
2017
Bit Fusion: Bit-Level Dynamically Composable Architecture for Accelerating Deep Neural Networks.
CoRR, 2017
PrivyNet: A Flexible Framework for Privacy-Preserving Deep Neural Network Training with A Fine-Grained Privacy Control.
CoRR, 2017
Deep Convolutional Neural Network Inference with Floating-point Weights and Fixed-point Activations.
CoRR, 2017
Exploiting data-dependence and Flip-Flop asymmetry for zero-overhead system soft error mitigation.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Cross-level Monte Carlo Framework for System Vulnerability Evaluation against Fault Attack.
Proceedings of the 54th Annual Design Automation Conference, 2017
2016
Predicting future complementary metal-oxide-semiconductor technology - challenges and approaches.
IET Comput. Digit. Tech., 2016
A 400mV active VMIN, 200mV retention VMIN, 2.8 GHz 64Kb SRAM with a 0.09 um<sup>2</sup> 6T bitcell in a 16nm FinFET CMOS process.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Throughput-Optimized OpenCL-based FPGA Accelerator for Large-Scale Convolutional Neural Networks.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016
2015
Comprehensive Analysis of Sequential and Combinational Soft Errors in an Embedded Processor.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
Evaluating and exploiting impacts of dynamic power management schemes on system reliability.
Proceedings of the 2015 International Conference on Compilers, 2015
2014
Guest Editorial - Special Section on the 2013 IEEE Custom Integrated Circuits Conference (CICC 2013).
IEEE Trans. Circuits Syst. I Regul. Pap., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Computers, 2014
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014
Proceedings of the International Symposium on Physical Design, 2014
A digital dynamic write margin sensor for low power read/write operations in 28nm SRAM.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the 2014 IEEE International Conference on IC Design & Technology, 2014
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 19th Asia and South Pacific Design Automation Conference, 2014
2013
Guest Editorial: Special Section on the 2012 IEEE Custom Integrated Circuits Conference (CICC 2012).
IEEE Trans. Circuits Syst. I Regul. Pap., 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Leveraging sensitivity analysis for fast, accurate estimation of SRAM dynamic write V<sub>MIN</sub>.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013
2012
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
An adaptive write word-line pulse width and voltage modulation architecture for bit-interleaved 8T SRAMs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, 2012
2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Analysis of Beliefs of Survivors of the 7/7 London Bombings: Application of a Formal Model for Contagion of Mental States.
Proceedings of the Neural Information Processing - 18th International Conference, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Analytical model for SRAM dynamic write-ability degradation due to gate oxide breakdown.
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
2010
Asymmetric 6T SRAM with two-phase write and split bitline differential sensing for low voltage operation.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 2010 International Conference on Computer Information Systems and Industrial Management Applications, 2010
2009
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
Proceedings of the 15th IEEE International On-Line Testing Symposium (IOLTS 2009), 2009
Proceedings of the Design, Automation and Test in Europe, 2009
2008
Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
2004
Proceedings of the Sixth International Workshop on System-Level Interconnect Prediction (SLIP 2004), 2004
A power aware system level interconnect design methodology for latency-insensitive systems.
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 2004 Design, 2004
2003
Dynamically Optimized Synchronous Communication for Low Power System on Chip Designs.
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Proceedings of the 2003 Design, 2003
2002
Simultaneous Optimization of Driving Buffer and Routing Switch Sizes in an FPGA using an Iso-Area Approach.
Proceedings of the 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 2002
Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2002