Vijay Janapa Reddi
Orcid: 0000-0002-5259-7721Affiliations:
- Harvard University, USA
- The University of Texas at Austin, TX, USA (former)
According to our database1,
Vijay Janapa Reddi
authored at least 181 papers
between 2004 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
On csauthors.net:
Bibliography
2024
Silent Data Corruption in Robot Operating System: A Case for End-to-End System-Level Fault Analysis Using Autonomous UAVs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2024
The Magnificent Seven Challenges and Opportunities in Domain-Specific Accelerator Design for Autonomous Systems.
CoRR, 2024
FedStaleWeight: Buffered Asynchronous Federated Learning with Fair Aggregation via Staleness Reweighting.
CoRR, 2024
Wake Vision: A Large-scale, Diverse Dataset and Benchmark Suite for TinyML Person Detection.
CoRR, 2024
RobotPerf: An Open-Source, Vendor-Agnostic, Benchmarking Suite for Evaluating Robotics Computing System Performance.
Proceedings of the IEEE International Conference on Robotics and Automation, 2024
Adversarial Nibbler: An Open Red-Teaming Method for Identifying Diverse Harms in Text-to-Image Generation.
Proceedings of the 2024 ACM Conference on Fairness, Accountability, and Transparency, 2024
MulBERRY: Enabling Bit-Error Robustness for Energy-Efficient Multi-Agent Autonomous Systems.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
Proceedings of the AAAI 2024 Spring Symposium Series, 2024
2023
ACM J. Emerg. Technol. Comput. Syst., October, 2023
IEEE Trans. Neural Networks Learn. Syst., August, 2023
Nat. Mac. Intell., July, 2023
FARSI: An Early-stage Design Space Exploration Framework to Tame the Domain-specific System-on-chip Complexity.
ACM Trans. Embed. Comput. Syst., March, 2023
RobotPerf: An Open-Source, Vendor-Agnostic, Benchmarking Suite for Evaluating Robotics Computing System Performance.
CoRR, 2023
Leveraging Residue Number System for Designing High-Precision Analog Deep Neural Network Accelerators.
CoRR, 2023
Adversarial Nibbler: A Data-Centric Challenge for Improving the Safety of Text-to-Image Models.
CoRR, 2023
NeuroBench: Advancing Neuromorphic Computing through Collaborative, Fair and Representative Benchmarking.
CoRR, 2023
Is TinyML Sustainable? Assessing the Environmental Impacts of Machine Learning on Microcontrollers.
CoRR, 2023
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
XRBench: An Extended Reality (XR) Machine Learning Benchmark Suite for the Metaverse.
Proceedings of the Sixth Conference on Machine Learning and Systems, 2023
Proceedings of the Sixth Conference on Machine Learning and Systems, 2023
CFU Playground: Full-Stack Open-Source Framework for Tiny Machine Learning (TinyML) Acceleration on FPGAs.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023
RoboShape: Using Topology Patterns to Scalably and Flexibly Deploy Accelerators Across Robots.
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Solving Complex Sequential Decision-Making Problems by Deep Reinforcement Learning with Heuristic Rules.
Proceedings of the Computational Science - ICCS 2023, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
BERRY: Bit Error Robustness for Energy-Efficient Reinforcement Learning-Based Autonomous Systems.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2022
Trans. Mach. Learn. Res., 2022
IEEE Des. Test, 2022
CoRR, 2022
CoRR, 2022
Tabula: Efficiently Computing Nonlinear Activation Functions for Secure Neural Network Inference.
CoRR, 2022
FARSI: Facebook AR System Investigator for Agile Domain-Specific System-on-Chip Exploration.
CoRR, 2022
Proceedings of the SIGCSE 2022: The 53rd ACM Technical Symposium on Computer Science Education, 2022
The Dollar Street Dataset: Images Representing the Geographic and Socioeconomic Diversity of the World.
Proceedings of the Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, 2022
MLPerf Mobile Inference Benchmark: An Industry-Standard Open-Source Machine Learning Benchmark for On-Device AI.
Proceedings of the Fifth Conference on Machine Learning and Systems, 2022
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Roofline Model for UAVs: A Bottleneck Analysis Tool for Onboard Compute Characterization of Autonomous Unmanned Aerial Vehicles.
Proceedings of the International IEEE Symposium on Performance Analysis of Systems and Software, 2022
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2022
Proceedings of the 2022 International Conference on Robotics and Automation, 2022
FRL-FI: Transient Fault Analysis for Federated Reinforcement Learning-Based Navigation Systems.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
OMU: A Probabilistic 3D Occupancy Mapping Accelerator for Real-time OctoMap at the Edge.
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
Tiny Robot Learning: Challenges and Directions for Machine Learning in Resource-Constrained Robots.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
2021
The Role of Compute in Autonomous Micro Aerial Vehicles: Optimizing for Mission Time and Energy Efficiency.
ACM Trans. Comput. Syst., 2021
Erratum to "Predictive Guardbanding: Program-Driven Timing Margin Reduction for GPUs".
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
System-level Early-stage Modeling and Evaluation of IVR-assisted Processor Power Delivery System.
ACM Trans. Archit. Code Optim., 2021
IEEE Robotics Autom. Lett., 2021
Air Learning: a deep reinforcement learning gym for autonomous aerial robot visual navigation.
Mach. Learn., 2021
The People's Speech: A Large-Scale Diverse English Speech Recognition Dataset for Commercial Usage.
CoRR, 2021
MedPerf: Open Benchmarking Platform for Medical Artificial Intelligence using Federated Evaluation.
CoRR, 2021
MAVFI: An End-to-End Fault Analysis Framework with Anomaly Detection and Recovery for Micro Aerial Vehicles.
CoRR, 2021
Machine Learning-Based Automated Design Space Exploration for Autonomous Aerial Robots.
CoRR, 2021
Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, 2021
The People's Speech: A Large-Scale Diverse English Speech Recognition Dataset for Commercial Usage.
Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, 2021
Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, 2021
Proceedings of the Fourth Conference on Machine Learning and Systems, 2021
MicroNets: Neural Network Architectures for Deploying TinyML Applications on Commodity Microcontrollers.
Proceedings of the Fourth Conference on Machine Learning and Systems, 2021
Proceedings of the Fourth Conference on Machine Learning and Systems, 2021
AI Tax in Mobile SoCs: End-to-end Performance Analysis of Machine Learning in Smartphones.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021
Sniffy Bug: A Fully Autonomous Swarm of Gas-Seeking Nano Quadcopters in Cluttered Environments.
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2021
Proceedings of the 22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30, 2021
Proceedings of the IEEE International Conference on Robotics and Automation, 2021
Gradient Disaggregation: Breaking Privacy in Federated Learning by Reconstructing the User Participant Matrix.
Proceedings of the 38th International Conference on Machine Learning, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Robomorphic computing: a design methodology for domain-specific accelerators parameterized by robot morphology.
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
Precision Batching: Bitserial Decomposition for Efficient Neural Network Inference on GPUs.
Proceedings of the 30th International Conference on Parallel Architectures and Compilation Techniques, 2021
2020
Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power Management.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
IEEE Micro, 2020
MLPerf Mobile Inference Benchmark: Why Mobile AI Benchmarking Is Hard and What to Do About It.
CoRR, 2020
CoRR, 2020
The Sky Is Not the Limit: A Visual Performance Model for Cyber-Physical Co-Design in Autonomous Machines.
IEEE Comput. Archit. Lett., 2020
Proceedings of the Third Conference on Machine Learning and Systems, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Missing the Forest for the Trees: End-to-End AI Application Performance in Edge Data Centers.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Asymmetric Resilience: Exploiting Task-Level Idempotency for Transient Error Recovery in Accelerator-Based Systems.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Algorithm-Hardware Co-Design of Adaptive Floating-Point Encodings for Resilient Deep Learning Inference.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020
2019
ACM Trans. Comput. Syst., 2019
AdaptivFloat: A Floating-point based Data Type for Resilient Deep Learning Inference.
CoRR, 2019
Learning to Seek: Autonomous Source Seeking with Deep Reinforcement Learning Onboard a Nano Drone Microcontroller.
CoRR, 2019
Air Learning: An AI Research Platform for Algorithm-Hardware Benchmarking of Autonomous Aerial Robots.
CoRR, 2019
Tail latency in node.js: energy efficient turbo boosting for long latency requests in event-driven web services.
Proceedings of the 15th ACM SIGPLAN/SIGOPS International Conference on Virtual Execution Environments, 2019
One Size Does Not Fit All: Quantifying and Exposing the Accuracy-Latency Trade-Off in Machine Learning Cloud Service APIs via Tolerance Tiers.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2019
Proceedings of the 25th IEEE International Symposium on On-Line Testing and Robust System Design, 2019
Fine-Tuning the Active Timing Margin (ATM) Control Loop for Maximizing Multi-core Efficiency on an IBM POWER Server.
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
Proceedings of the 25th IEEE International Symposium on High Performance Computer Architecture, 2019
2018
Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs.
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture, 2018
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Efficient and reliable power delivery in voltage-stacked manycore system with hybrid charge-recycling regulators.
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
ACM Trans. Comput. Syst., 2017
Cognitive Computing Safety: The New Horizon for Reliability / The Design and Evolution of Deep Learning Workloads.
IEEE Micro, 2017
Proceedings of the 9th USENIX Workshop on Hot Topics in Storage and File Systems, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
2016
Proceedings of the 37th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2016
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016
Proceedings of the 2016 International Conference on Supercomputing, 2016
Proceedings of the 2016 International Conference on Supercomputing, 2016
Mobile CPU's rise to power: Quantifying the impact of generational mobile CPU design trends on performance, energy, and user satisfaction.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Mosaic: cross-platform user-interaction record and replay for the fragmented android ecosystem.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
GPU voltage noise: Characterization and hierarchical smoothing of spatial and temporal voltage noise interference in GPU architectures.
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
2014
IEEE Comput. Archit. Lett., 2014
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014
Proceedings of the HASP 2014, 2014
2013
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01739-1, 2013
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013
2012
Proceedings of the 2012 International Conference on Collaboration Technologies and Systems, 2012
Proceedings of the 10th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2012
Robust and resilient designs from the bottom-up: Technology, CAD, circuit, and system issues.
Proceedings of the 17th Asia and South Pacific Design Automation Conference, 2012
2011
Resilient Architectures via Collaborative Design: Maximizing Commodity Processor Performance in the Presence of Variations.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the 48th Design Automation Conference, 2011
2010
ACM Trans. Archit. Code Optim., 2010
Predicting Voltage Droops Using Recurring Program and Microarchitectural Event Activity.
IEEE Micro, 2010
Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
2009
IEEE Trans. Dependable Secur. Comput., 2009
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Software-assisted hardware reliability: abstracting circuit-level challenges to the software stack.
Proceedings of the 46th Design Automation Conference, 2009
2007
Using Process-Level Redundancy to Exploit Multiple Cores for Transient Fault Tolerance.
Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2007
Proceedings of the Fifth International Symposium on Code Generation and Optimization (CGO 2007), 2007
Proceedings of the Fifth International Symposium on Code Generation and Optimization (CGO 2007), 2007
2006
IEEE Micro, 2006
2005
SIGARCH Comput. Archit. News, 2005
Proceedings of the ACM SIGPLAN 2005 Conference on Programming Language Design and Implementation, 2005
A Dynamic Compilation Framework for Controlling Microprocessor Energy and Performance.
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 2005
Topology-Based Hypercube Structures for Global Communication in Heterogeneous Networks.
Proceedings of the Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30, 2005
Proceedings of the Second Conference on Computing Frontiers, 2005
Proceedings of the Sixth International Workshop on Automated Debugging, 2005
Analysis of path profiling information generated with performance monitoring hardware.
Proceedings of the 9th Annual Workshop on Interaction between Compilers and Computer Architectures, 2005
2004
Proceedings of the 2004 workshop on Computer architecture education, 2004