Udit Gupta
Orcid: 0000-0002-9118-0961
According to our database1,
Udit Gupta
authored at least 54 papers
between 2010 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Proceedings of the 33rd USENIX Security Symposium, 2024
3D IC Architecture Evaluation and Optimization with Digital Compute-in-Memory Designs.
Proceedings of the 29th ACM/IEEE International Symposium on Low Power Electronics and Design, 2024
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
2023
Architectural CO<sub>2</sub> Footprint Tool: Designing Sustainable Computer Systems With an Architectural Carbon Modeling Tool.
IEEE Micro, 2023
GPT-InvestAR: Enhancing Stock Investment Strategies through Annual Report Analysis with Large Language Models.
CoRR, 2023
Design Space Exploration and Optimization for Carbon-Efficient Extended Reality Systems.
CoRR, 2023
Proceedings of the 2nd Workshop on Sustainable Computer Systems, 2023
Proceedings of the 2nd Workshop on Sustainable Computer Systems, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
Proceedings of the Fifth Conference on Machine Learning and Systems, 2022
ACT: designing sustainable computer systems with an architectural carbon modeling tool.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
Hercules: Heterogeneity-Aware Inference Serving for At-Scale Personalized Recommendation.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
2021
Learning Chemistry of Complex Reaction Systems via a Python First-Principles Reaction Rule Stencil (pReSt) Generator.
J. Chem. Inf. Model., 2021
Mentoring Opportunities in Computer Architecture: Analyzing the Past to Develop the Future.
Proceedings of the ACM/IEEE Workshop on Computer Architecture Education, 2021
RecPipe: Co-designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
2020
Reaction Network Viewer (ReNView): An open-source framework for reaction path visualization of chemical reaction systems.
SoftwareX, 2020
Proceedings of the Third Conference on Machine Learning and Systems, 2020
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
DeepRecSys: A System for Optimizing End-To-End At-Scale Neural Recommendation Inference.
Proceedings of the 47th ACM/IEEE Annual International Symposium on Computer Architecture, 2020
Proceedings of the IEEE International Symposium on Workload Characterization, 2020
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
2019
CoRR, 2019
CoRR, 2019
A 16nm 25mm<sup>2</sup> SoC with a 54.5x Flexibility-Efficiency Range from Dual-Core Arm Cortex-A53 to eFPGA and Cache-Coherent Accelerators.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019
Proceedings of the IEEE/ACM International Workshop on Programming and Performance Visualization Tools, 2019
MaxNVM: Maximizing DNN Storage Density and Inference Efficiency with Sparse Encoding and Error Mitigation.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the 26th IEEE International Conference on High Performance Computing, 2019
Proceedings of the 28th International Conference on Parallel Architectures and Compilation Techniques, 2019
2018
Proceedings of the 35th International Conference on Machine Learning, 2018
Rosetta: A Realistic High-Level Synthesis Benchmark Suite for Software Programmable FPGAs.
Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
Automatic analysis of LENA recordings for language assessment in children aged five to fourteen years with application to individuals with autism.
Proceedings of the 2017 IEEE EMBS International Conference on Biomedical & Health Informatics, 2017
2016
Comput. Chem. Eng., 2016
Proceedings of the 3rd Workshop on Hot Topics in Wireless, 2016
2015
CoRR, 2015
CoRR, 2015
On the perceptual relevance of objective source separation measures for singing voice separation.
Proceedings of the 2015 IEEE Workshop on Applications of Signal Processing to Audio and Acoustics, 2015
Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2015
2010
Proceedings of the IEEE International Conference on Acoustics, 2010