Trevor N. Mudge
Orcid: 0000-0001-7845-2187Affiliations:
- University of Michigan, Ann Arbor, MI, USA
According to our database1,
Trevor N. Mudge
authored at least 306 papers
between 1977 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2016, "For contributions to power aware computer architecture".
IEEE Fellow
IEEE Fellow 1995, "For contributions to the design and analysis of high performance processors.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
Palermo: Improving the Performance of Oblivious Memory using Protocol-Hardware Co-Design.
CoRR, 2024
2023
Proc. VLDB Endow., November, 2023
Introduction to the Special Issue on Domain-Specific System-on-Chip Architectures and Run-Time Management Techniques.
ACM Trans. Embed. Comput. Syst., March, 2023
ACM Trans. Embed. Comput. Syst., March, 2023
Accelerating Graph Analytics on a Reconfigurable Architecture with a Data-Indirect Prefetcher.
CoRR, 2023
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
GRACE: A Scalable Graph-Based Approach to Accelerating Recommendation Model Inference.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
Versa: A 36-Core Systolic Multiprocessor With Dynamically Reconfigurable Interconnect and Memory.
IEEE J. Solid State Circuits, 2022
A 507 GMACs/J 256-Core Domain Adaptive Systolic-Array-Processor for Wireless Communication and Linear-Algebra Kernels in 12nm FINFET.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits 2022), 2022
Proceedings of the 55th IEEE/ACM International Symposium on Microarchitecture, 2022
Improving Energy Efficiency of Convolutional Neural Networks on Multi-core Architectures through Run-time Reconfiguration.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Enabling Software-Defined RF Convergence with a Novel Coarse-Scale Heterogeneous Processor.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2022
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
MeNDA: a near-memory multi-way merge solution for sparse transposition and dataflows.
Proceedings of the ISCA '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18, 2022
Squaring the circle: Executing Sparse Matrix Computations on FlexTPU - A TPU-Like Processor.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022
Locality-Aware Optimizations for Improving Remote Memory Latency in Multi-GPU Systems.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2022
2021
Versa: A Dataflow-Centric Multiprocessor with 36 Systolic ARM Cortex-M4F Cores and a Reconfigurable Crossbar-Memory Hierarchy in 28nm.
Proceedings of the 2021 Symposium on VLSI Circuits, Kyoto, Japan, June 13-19, 2021, 2021
Proceedings of the IEEE International Symposium on Workload Characterization, 2021
Prodigy: Improving the Memory Latency of Data-Indirect Irregular Workloads Using Hardware-Software Co-Design.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
A 7.3 M Output Non-Zeros/J, 11.7 M Output Non-Zeros/GB Reconfigurable Sparse Matrix-Matrix Multiplication Accelerator.
IEEE J. Solid State Circuits, 2020
Proceedings of the Embedded Computer Systems: Architectures, Modeling, and Simulation, 2020
Accelerating Deep Neural Network Computation on a Low Power Reconfigurable Architecture.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
HETSIM: Simulating Large-Scale Heterogeneous Systems using a Trace-driven, Synchronization and Dependency-Aware Framework.
Proceedings of the IEEE International Symposium on Workload Characterization, 2020
Proceedings of the ICS '20: 2020 International Conference on Supercomputing, 2020
Accelerating Linear Algebra Kernels on a Massively Parallel Reconfigurable Architecture.
Proceedings of the 2020 IEEE International Conference on Acoustics, 2020
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020
2019
Configurable-ECC: Architecting a Flexible ECC Scheme to Support Different Sized Accesses in High Bandwidth Memory Systems.
IEEE Trans. Computers, 2019
A 7.3 M Output Non-Zeros/J Sparse Matrix-Matrix Multiplication Accelerator using Memory Reconfiguration in 40 nm.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019
Proceedings of the International Symposium on Memory Systems, 2019
Proceedings of the 37th IEEE International Conference on Computer Design, 2019
2018
Holistic generational offsets: Fostering a primitive online abstraction for human vs. machine cognition
CoRR, 2018
Proceedings of the International Symposium on Memory Systems, 2018
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
2017
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017
14.7 A 288µW programmable deep-learning processor with 270KB on-chip weight storage using non-uniform memory hierarchy for mobile intelligence.
Proceedings of the 2017 IEEE International Solid-State Circuits Conference, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 2017 IEEE International Conference on Cluster Computing, 2017
Proceedings of the Twenty-Second International Conference on Architectural Support for Programming Languages and Operating Systems, 2017
2016
Designing Future Warehouse-Scale Computers for Sirius, an End-to-End Voice and Vision Personal Assistant.
ACM Trans. Comput. Syst., 2016
Using Low Cost Erasure and Error Correction Schemes to Improve Reliability of Commodity DRAM Systems.
IEEE Trans. Computers, 2016
RATT-ECC: Rate Adaptive Two-Tiered Error Correction Codes for Reliable 3D Die-Stacked Memory.
ACM Trans. Archit. Code Optim., 2016
Energy-Autonomous Wireless Communication for Millimeter-Scale Internet-of-Things Sensor Nodes.
IEEE J. Sel. Areas Commun., 2016
Proceedings of the Second International Symposium on Memory Systems, 2016
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
Near-threshold computing in FinFET technologies: opportunities for improved voltage scalability.
Proceedings of the 53rd Annual Design Automation Conference, 2016
2015
J. Signal Process. Syst., 2015
Commun. ACM, 2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
E-ECC: Low Power Erasure and Error Correction Schemes for Increasing Reliability of Commodity DRAM Systems.
Proceedings of the 2015 International Symposium on Memory Systems, 2015
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
DjiNN and Tonic: DNN as a service and its implications for future warehouse scale computers.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Proceedings of the 22nd IEEE International Conference on High Performance Computing, 2015
Sirius: An Open End-to-End Voice and Vision Personal Assistant and Its Implications for Future Warehouse Scale Computers.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015
2014
Improving the Reliability of MLC NAND Flash Memories Through Adaptive Data Refresh and Error Control Coding.
J. Signal Process. Syst., 2014
Evaluating private vs. shared last-level caches for energy efficiency in asymmetric multi-cores.
Proceedings of the XIVth International Conference on Embedded Computer Systems: Architectures, 2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Author retrospective improving data cache performance by pre-executing instructions under a cache miss.
Proceedings of the ACM International Conference on Supercomputing 25th Anniversary Volume, 2014
Proceedings of the IEEE International Conference on Acoustics, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Integrated 3D-stacked server designs for increasing physical density of key-value stores.
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
2013
Centip3De: A Cluster-Based NTC Architecture With 64 ARM Cortex-M3 Cores in 3D Stacked 130 nm CMOS.
IEEE J. Solid State Circuits, 2013
Centip3De: a many-core prototype exploring 3D integration and near-threshold computing.
Commun. ACM, 2013
Proceedings of the IEEE Workshop on Signal Processing Systems, 2013
Proceedings of the International Conference for High Performance Computing, 2013
Parallelization techniques for implementing trellis algorithms on graphics processors.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Proceedings of the IEEE International Symposium on Workload Characterization, 2013
Proceedings of the 19th IEEE International Symposium on High Performance Computer Architecture, 2013
2012
IEEE Trans. Computers, 2012
IEEE J. Emerg. Sel. Topics Circuits Syst., 2012
A 4.5Tb/s 3.4Tb/s/W 64×64 switch fabric with self-updating least-recently-granted priority and quality-of-service arbitration in 45nm CMOS.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012
Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores.
Proceedings of the 2012 IEEE International Solid-State Circuits Conference, 2012
Proceedings of the 2012 IEEE Hot Chips 24 Symposium (HCS), 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
High radix self-arbitrating switch fabric with multiple arbitration schemes and quality of service.
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
A limits study of benefits from nanostore-based future data-centric system architectures.
Proceedings of the Computing Frontiers Conference, CF'12, 2012
Proceedings of the 15th International Conference on Compilers, 2012
XPoint cache: scaling existing bus-based coherence protocols for 2D and 3D many-core systems.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
J. Signal Process. Syst., 2011
Proceedings of the IEEE Workshop on Signal Processing Systems, 2011
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 16th International Conference on Architectural Support for Programming Languages and Operating Systems, 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits.
Proc. IEEE, 2010
IEEE Micro, 2010
Guest Editor's Introduction: Top Picks from the Computer Architecture Conferences of 2009.
IEEE Micro, 2010
Proceedings of the 2010 International Conference on Embedded Computer Systems: Architectures, 2010
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
Proceedings of the 2010 International Conference on Compilers, 2010
Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2009
Proceedings of the 2009 International Conference on Embedded Computer Systems: Architectures, 2009
Proceedings of the Embedded Computer Systems: Architectures, 2009
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
SuiteSpecks and SuiteSpots: A methodology for the automatic conversion of benchmarking programs into intrinsically checkpointed assembly code.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2009
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the 36th International Symposium on Computer Architecture (ISCA 2009), 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the CGO 2009, 2009
Flextream: Adaptive Compilation of Streaming Applications for Heterogeneous Architectures.
Proceedings of the PACT 2009, 2009
2008
IEEE Trans. Very Large Scale Integr. Syst., 2008
On-chip cache device scaling limits and effective fault repair techniques in future nanoscale technology.
Microprocess. Microsystems, 2008
IEEE J. Solid State Circuits, 2008
ACM J. Emerg. Technol. Comput. Syst., 2008
Energy-Efficient Simultaneous Thread Fetch from Different Cache Levels in a Soft Real-Time SMT Processor.
Proceedings of the Embedded Computer Systems: Architectures, 2008
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008
Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2008
Understanding and Designing New Server Architectures for Emerging Warehouse-Computing Environments.
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the IEEE International Conference on Acoustics, 2008
2007
IEEE Micro, 2007
Proceedings of the IEEE Workshop on Signal Processing Systems, 2007
Proceedings of the Embedded Computer Systems: Architectures, 2007
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 4th Conference on Computing Frontiers, 2007
Proceedings of the 2007 International Conference on Compilers, 2007
Proceedings of the 2007 International Conference on Compilers, 2007
Proceedings of the 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), 2007
2006
ACM Trans. Embed. Comput. Syst., 2006
IEEE J. Solid State Circuits, 2006
Proceedings of the IEEE Workshop on Signal Processing Systems, 2006
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006
Proceedings of the 33rd International Symposium on Computer Architecture (ISCA 2006), 2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 2006 International Conference on Compilers, 2006
PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor.
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006
2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
IEEE Trans. Computers, 2005
Proceedings of the 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 2005
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005
Intrinsic Checkpointing: A Methodology for Decreasing Simulation Time Through Binary Modification.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005
PowerFITS: Reduce Dynamic and Static I-Cache Power Using Application Specific Instruction Set Synthesis.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2005
Total power-optimal pipelining and parallel processing under process variations in nanometer technology.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
An Intrusion-Tolerant and Self-Recoverable Network Service System Using A Security Enhanced Chip Multiprocessor.
Proceedings of the Second International Conference on Autonomic Computing (ICAC 2005), 2005
Proceedings of the High Performance Embedded Architectures and Compilers, 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Proceedings of the 2005 Design, 2005
Power-Performance Trade-Offs in Nanometer-Scale Multi-Level Caches Considering Total Leakage.
Proceedings of the 2005 Design, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 2005 International Conference on Compilers, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
IEEE Trans. Very Large Scale Integr. Syst., 2004
IEEE Micro, 2004
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
FITS: framework-based instruction-set tuning synthesis for embedded application specific processors.
Proceedings of the 41th Design Automation Conference, 2004
2003
ACM Trans. Embed. Comput. Syst., 2003
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003
Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003
Proceedings of the 17th Annual International Conference on Supercomputing, 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
Proceedings of the IEEE Custom Integrated Circuits Conference, 2003
2002
Proceedings of the 5th Symposium on Operating System Design and Implementation (OSDI 2002), 2002
Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction.
Proceedings of the 35th Annual International Symposium on Microarchitecture, 2002
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads.
Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, 2002
2001
Proceedings of the MOBICOM 2001, 2001
Proceedings of the 15th international conference on Supercomputing, 2001
2000
IEEE Des. Test Comput., 2000
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000
Proceedings of the 2000 IEEE International Symposium on Performance Analysis of Systems and Software, 2000
Proceedings of the High Performance Computing, Third International Symposium, 2000
Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, 2000
Proceedings of the High Performance Computing, 2000
Proceedings of the ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000
1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
SIGARCH Comput. Archit. News, 1999
SIGARCH Comput. Archit. News, 1999
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999
Proceedings of the 36th Conference on Design Automation, 1999
1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
ACM Trans. Design Autom. Electr. Syst., 1998
Proceedings of the 1998 workshop on Computer architecture education, 1998
Proceedings of the 31st Annual IEEE/ACM International Symposium on Microarchitecture, 1998
A Look at Several Memory Management Units, TLB-Refill Mechanisms, and Page Table Organizations.
Proceedings of the ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, 1998
1997
ACM Trans. Model. Comput. Simul., 1997
IEEE Trans. Computers, 1997
Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, 1997
Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, 1997
Proceedings of the 11th international conference on Supercomputing, 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997
1996
Report on the panel: "how can computer architecture researchers avoid becoming the society for irreproducible results?".
SIGARCH Comput. Archit. News, 1996
Proceedings of the 7th ACM SIGOPS European Workshop: Systems Support for Worldwide Applications, 1996
Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, 1996
Proceedings of the 23rd Annual International Symposium on Computer Architecture, 1996
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996
Proceedings of the ASPLOS-VII Proceedings, 1996
1995
IEEE Trans. Very Large Scale Integr. Syst., 1995
Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29, 1995
Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995
A Parallel Genetic Algorithm for Multiobjective Microprocessor Design.
Proceedings of the 6th International Conference on Genetic Algorithms, 1995
Proceedings of the 16th Conference on Advanced Research in VLSI (ARVLSI '95), 1995
1994
Proceedings of the 1994 ACM SIGMETRICS conference on Measurement and modeling of computer systems, 1994
Proceedings of the 27th Annual International Symposium on Microarchitecture, San Jose, California, USA, November 30, 1994
Proceedings of the MASCOTS '94, Proceedings of the Second International Workshop on Modeling, Analysis, and Simulation On Computer and Telecommunication Systems, January 31, 1994
Proceedings of the 21st Annual International Symposium on Computer Architecture. Chicago, 1994
Proceedings of the 8th International Symposium on Parallel Processing, 1994
Proceedings of the ASPLOS-VI Proceedings, 1994
Proceedings of the ASPLOS-VI Proceedings, 1994
1993
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1993
Proceedings of the 26th Annual International Symposium on Microarchitecture, 1993
1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, 1992
Proceedings of the 1992 IEEE/ACM International Conference on Computer-Aided Design, 1992
1991
Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, 1991
Proceedings of the Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, 1991
1990
J. Parallel Distributed Comput., 1990
Int. J. Parallel Program., 1990
Proceedings of the Working Group on Ada Performance Issues 1990, 1990
Proceedings of the Working Group on Ada Performance Issues 1990, 1990
Proceedings of the Working Group on Ada Performance Issues 1990, 1990
Proceedings of the Working Group on Ada Performance Issues 1990, 1990
Proceedings of the Working Group on Ada Performance Issues 1990, 1990
Proceedings of the Working Group on Ada Performance Issues 1990, 1990
<i>check</i> T<sub>c</sub> and <i>min</i> T<sub>c</sub>: Timing Verification and Optimal Clocking of Synchronous Digtal Circuits.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990
1989
IEEE Trans. Software Eng., 1989
Efficient Recognition of Partially Visible Objects Using a Logarithmic Complexity Matching Technique.
Int. J. Robotics Res., 1989
1988
Proceedings of the 15th Annual International Symposium on Computer Architecture, 1988
Proceedings of the Third Conference on Hypercube Concurrent Computers and Applications, 1988
Proceedings of the Third Conference on Hypercube Concurrent Computers and Applications, 1988
Proceedings of the Third Conference on Hypercube Concurrent Computers and Applications, 1988
1987
IEEE Trans. Computers, 1987
IEEE Trans. Computers, 1987
Automatic generation of salient features for the recognition of partially occluded parts.
Robotica, 1987
Proceedings of the First International Workshop on Real-Time Ada Issues, 1987
Range image segmentation and surface parameter extraction for 3-D object recognition of industrial parts.
Proceedings of the 1987 IEEE International Conference on Robotics and Automation, Raleigh, North Carolina, USA, March 31, 1987
Two-dimensional partially visible object recognition using efficient multidimensional range queries.
Proceedings of the 1987 IEEE International Conference on Robotics and Automation, Raleigh, North Carolina, USA, March 31, 1987
Crosspoint Cache Architectures.
Proceedings of the International Conference on Parallel Processing, 1987
Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28, 1987
1986
ACM SIGPLAN Notices, 1986
J. Parallel Distributed Comput., 1986
Instruction Level Mechanisms for Accurate Real-time Task Scheduling.
Proceedings of the 7th IEEE Real-Time Systems Symposium (RTSS '86), 1986
Architecture of a Hypercube Supercomputer.
Proceedings of the International Conference on Parallel Processing, 1986
1985
IEEE Trans. Computers, 1985
Proceedings of the 1985 Annual ACM SIGAda International Conference on Ada, 1985
Proceedings of the 1985 IEEE International Conference on Robotics and Automation, 1985
1984
IEEE Trans. Syst. Man Cybern., 1984
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1984
IEEE Trans. Computers, 1984
Proceedings of the 16th conference on Winter simulation, 1984
1983
Efficiency of Feature Dependent Algorithms for the Parallel Processing of Images.
Proceedings of the International Conference on Parallel Processing, 1983
1982
Proceedings of the 9th International Symposium on Computer Architecture (ISCA 1982), 1982
An Approximate Queueing Model for Packet Switched Multistage Interconnection Networks.
Proceedings of the Proceedings of the 3rd International Conference on Distributed Computing Systems, 1982
Proceedings of the 19th Design Automation Conference, 1982
1980
Review of The structure of computers and computation Vol. I by David J. Kuck. John Wiley & and Sons 1978.
SIGARCH Comput. Archit. News, 1980
1977