Trevor E. Carlson
Orcid: 0000-0001-8742-134XAffiliations:
- National University of Singapore, Department of Computer Science
According to our database1,
Trevor E. Carlson
authored at least 85 papers
between 2009 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
ACM Trans. Archit. Code Optim., December, 2024
LOTUS: A Scalable Framework to Lock Multimodule Designs With One-Time Self-Destructing Key.
IEEE Embed. Syst. Lett., December, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., February, 2024
Providing High-Performance Execution with a Sequential Contract for Cryptographic Programs.
CoRR, 2024
IEEE Access, 2024
FAST-GO: Fast, Accurate, and Scalable Hardware Trojan Detection using Graph Convolutional Networks.
Proceedings of the 25th International Symposium on Quality Electronic Design, 2024
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2024
Prime+Reset: Introducing A Novel Cross-World Covert-Channel Through Comprehensive Security Analysis on ARM TrustZone.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
2023
ACM Trans. Archit. Code Optim., December, 2023
Mitigating Speculation-based Attacks through Configurable Hardware/Software Co-design.
CoRR, 2023
New Cross-Core Cache-Agnostic and Prefetcher-based Side-Channels and Covert-Channels.
CoRR, 2023
Capstone: A Capability-based Foundation for Trustless Secure Memory Access (Extended Version).
CoRR, 2023
Proceedings of the 32nd USENIX Security Symposium, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
HidFix: Efficient Mitigation of Cache-Based Spectre Attacks Through Hidden Rollbacks.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
AfterImage: Leaking Control Flow Data and Tracking Load Operations via the Hardware Prefetcher.
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
ACM Trans. Comput. Syst., 2022
Rectified Linear Postsynaptic Potential Function for Backpropagation in Deep Spiking Neural Networks.
IEEE Trans. Neural Networks Learn. Syst., 2022
CoRR, 2022
Proceedings of the 31st USENIX Security Symposium, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
2021
Proceedings of the NOCS '21: International Symposium on Networks-on-Chip, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2021
Proceedings of the ASPLOS '21: 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2021
2020
CARGO : Context Augmented Critical Region Offload for Network-bound datacenter Workloads.
CoRR, 2020
You Only Spike Once: Improving Energy-Efficient Neuromorphic Inference to ANN-Level Accuracy.
CoRR, 2020
IEEE Comput. Archit. Lett., 2020
Proceedings of the IEEE International Conference on Teaching, 2020
Proceedings of the 33rd IEEE International System-on-Chip Conference, 2020
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020
2019
Maximizing Limited Resources: a Limit-Based Study and Taxonomy of Out-of-Order Commit.
J. Signal Process. Syst., 2019
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
2018
IEEE Trans. Computers, 2018
Power-performance tradeoffs in data center servers: DVFS, CPU pinning, horizontal, and vertical scaling.
Future Gener. Comput. Syst., 2018
Active Learning to Develop Key Research Skills in Master's Level Computer Science Coursework.
Proceedings of the IEEE International Conference on Teaching, 2018
SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order cores.
Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, 2018
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2018
2017
IEEE Comput. Archit. Lett., 2017
Proceedings of the 2017 IEEE International Symposium on Performance Analysis of Systems and Software, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017
Proceedings of the 2017 International Symposium on Code Generation and Optimization, 2017
Proceedings of the Computing Frontiers Conference, 2017
Proceedings of the 26th International Conference on Parallel Architectures and Compilation Techniques, 2017
2016
Analytical Processor Performance and Power Modeling Using Micro-Architecture Independent Characteristics.
IEEE Trans. Computers, 2016
CoolSim: Statistical techniques to replace cache warming with efficient, virtualized profiling.
Proceedings of the International Conference on Embedded Computer Systems: Architectures, 2016
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016
2015
IEEE Comput. Archit. Lett., 2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Proceedings of the 2015 IEEE International Symposium on Workload Characterization, 2015
Proceedings of the 12th ACM International Conference on Computing Frontiers, 2015
2014
ACM Trans. Archit. Code Optim., 2014
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014
Proceedings of the 4th International Workshop on Runtime and Operating Systems for Supercomputers, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
2013
PCantorSim: Accelerating parallel architecture simulation through fractal-based sampling.
ACM Trans. Archit. Code Optim., 2013
Proceedings of the Tools for High Performance Computing 2013, 2013
Proceedings of the 2012 IEEE International Symposium on Performance Analysis of Systems & Software, 2013
2012
Power-aware multi-core simulation for early design stage hardware/software co-optimization.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
Sniper: exploring the level of abstraction for scalable and accurate parallel multi-core simulation.
Proceedings of the Conference on High Performance Computing Networking, 2011
Using Fast and Accurate Simulation to Explore Hardware/Software Trade-offs in the Multi-Core Era.
Proceedings of the Applications, Tools and Techniques on the Road to Exascale Computing, Proceedings of the conference ParCo 2011, 31 August, 2011
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
Proceedings of the Euro-Par 2011: Parallel Processing Workshops - CCPI, CGWS, HeteroPar, HiBB, HPCVirt, HPPC, HPSS, MDGS, ProPer, Resilience, UCHPC, VHPC, Bordeaux, France, August 29, 2011
2009
Generic Multiphase Software Pipelined Partial FFT on Instruction Level Parallel Architectures.
IEEE Trans. Signal Process., 2009
System-level power/performance evaluation of 3D stacked DRAMs for mobile applications.
Proceedings of the Design, Automation and Test in Europe, 2009
Automated Pathfinding tool chain for 3D-stacked integrated circuits: Practical case study.
Proceedings of the IEEE International Conference on 3D System Integration, 2009