Todd M. Austin
Orcid: 0000-0002-0181-0852Affiliations:
- University of Michigan, Ann Arbor, USA
According to our database1,
Todd M. Austin
authored at least 139 papers
between 1992 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
1995
2000
2005
2010
2015
2020
0
1
2
3
4
5
6
7
8
9
10
1
1
1
1
2
4
2
1
4
2
2
2
1
2
1
3
2
4
7
1
5
4
7
3
4
2
3
5
3
5
3
7
7
5
5
3
6
3
4
3
2
1
2
1
1
1
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Proceedings of the International Symposium on Secure and Private Execution Environment Design, 2024
Octal: Efficient Automatic Data-Oblivious Program Transformations to Eliminate Side-Channel Leakage.
Proceedings of the IEEE Secure Development Conference, 2024
Special Session: Detecting and Defending Vulnerabilities in Heterogeneous and Monolithic Systems: Current Strategies and Future Directions.
Proceedings of the International Conference on Compilers, 2024
2023
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2023
Proceedings of the 2023 ACM SIGSAC Conference on Computer and Communications Security, 2023
2022
These Aren't The Caches You're Looking For: Stochastic Channels on Randomized Caches.
Proceedings of the 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), 2022
Proceedings of the 2022 IEEE International Symposium on Secure and Private Execution Environment Design (SEED), 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
PriMax: maximizing DSL application performance with selective primitive acceleration.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
2021
Software-driven Security Attacks: From Vulnerability Sources to Durable Hardware Defenses.
ACM J. Emerg. Technol. Comput. Syst., 2021
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021
ChipAdvisor: A Machine Learning Approach for Mapping Applications to Heterogeneous Systems.
Proceedings of the 22nd International Symposium on Quality Electronic Design, 2021
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2021
Proceedings of the 39th IEEE International Conference on Computer Design, 2021
Prodigy: Improving the Memory Latency of Data-Indirect Irregular Workloads Using Hardware-Software Co-Design.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Morpheus II: A RISC-V Security Extension for Protecting Vulnerable Software and Hardware.
Proceedings of the IEEE Hot Chips 33 Symposium, 2021
Morpheus II: A RISC-V Security Extension for Protecting Vulnerable Software and Hardware.
Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust, 2021
2020
Proceedings of the 2020 IEEE International Symposium on Hardware Oriented Security and Trust, 2020
2019
CoRR, 2019
Cyclone: Detecting Contention-Based Cache Information Leaks Through Cyclic Interference.
Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture, 2019
Proceedings of the Data and Applications Security and Privacy XXXIII, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the IEEE/ACM International Symposium on Code Generation and Optimization, 2019
Morpheus: A Vulnerability-Tolerant Secure Architecture Based on Ensembles of Moving Target Defenses with Churn.
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Reducing the overhead of authenticated memory encryption using delta encoding and ECC memory.
Proceedings of the 55th Annual Design Automation Conference, 2018
2017
Commun. ACM, 2017
Proceedings of the IEEE 2nd International Verification and Security Workshop, 2017
Proceedings of the 2017 IEEE/ACM International Symposium on Low Power Electronics and Design, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Cold Boot Attacks are Still Hot: Security Analysis of Memory Scramblers in Modern Processors.
Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture, 2017
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017
When good protections go bad: Exploiting anti-DoS measures to accelerate rowhammer attacks.
Proceedings of the 2017 IEEE International Symposium on Hardware Oriented Security and Trust, 2017
Proceedings of the IEEE AFRICON 2017, Cape Town, South Africa, September 18-20, 2017, 2017
2016
Proceedings of the IEEE Symposium on Security and Privacy, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the Twenty-First International Conference on Architectural Support for Programming Languages and Operating Systems, 2016
2015
Proceedings of the 6th ACM/SPEC International Conference on Performance Engineering, Austin, TX, USA, January 31, 2015
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 13th Annual IEEE/ACM International Symposium on Code Generation and Optimization, 2015
2013
Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization, 2013
Proceedings of the International Conference on Compilers, 2013
2012
Proceedings of the 2012 IEEE International Conference on Emerging Signal Processing Applications, 2012
CrashTest'ing SWAT: Accurate, gate-level evaluation of symptom-based resiliency solutions.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
2011
Proceedings of the 2011 Workshop on Programming Languages and Analysis for Security, 2011
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Proceedings of the 2011 IEEE International Symposium on Workload Characterization, 2011
Proceedings of the 48th Design Automation Conference, 2011
2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 47th Design Automation Conference, 2010
2009
IEEE Trans. Very Large Scale Integr. Syst., 2009
IEEE Trans. Computers, 2009
2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
ACM Trans. Archit. Code Optim., 2008
IEEE J. Solid State Circuits, 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the 26th International Conference on Computer Design, 2008
2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
ACM Trans. Archit. Code Optim., 2007
Software-Based Online Detection of Hardware Defects Mechanisms, Architectural Support, and Evaluation.
Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-40 2007), 2007
Proceedings of the 34th International Symposium on Computer Architecture (ISCA 2007), 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
IEEE J. Solid State Circuits, 2006
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006
Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, 2006
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006
Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, 2006
Reliability-aware data placement for partial memory protection in embedded processors.
Proceedings of the 2006 workshop on Memory System Performance and Correctness, 2006
2005
Proceedings of the 6th International Symposium on Quality of Electronic Design (ISQED 2005), 2005
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005
Proceedings of the 23rd International Conference on Computer Design (ICCD 2005), 2005
Proceedings of the 2005 Design, 2005
Proceedings of the 42nd Design Automation Conference, 2005
A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution.
Proceedings of the 2005 International Conference on Compilers, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
SIGMETRICS Perform. Evaluation Rev., 2004
IEEE Micro, 2004
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 2nd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2004
2003
Proceedings of the 12th USENIX Security Symposium, Washington, D.C., USA, August 4-8, 2003, 2003
A Systematic Methodology to Compute the Architectural Vulnerability Factors for a High-Performance Microprocessor.
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003
Proceedings of the 36th Annual International Symposium on Microarchitecture, 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
Proceedings of the International Conference on Compilers, 2003
2002
Proceedings of the 2002 workshop on Computer architecture education, 2002
Proceedings of the High Performance Computing, 4th International Symposium, 2002
Proceedings of the 29th International Symposium on Computer Architecture (ISCA 2002), 2002
2001
IEEE Trans. Computers, 2001
Design for Verification?
IEEE Des. Test Comput., 2001
Proceedings of the 2001 IEEE International Symposium on Performance Analysis of Systems and Software, 2001
Proceedings of the 2001 IEEE International Symposium on Performance Analysis of Systems and Software, 2001
Proceedings of the 28th Annual International Symposium on Computer Architecture, 2001
Proceedings of the 2001 International Conference on Dependable Systems and Networks (DSN 2001) (formerly: FTCS), 2001
Proceedings of the 38th Design Automation Conference, 2001
Application specific architectures: a recipe for fast, flexible and power efficient designs.
Proceedings of the 2001 International Conference on Compilers, 2001
2000
J. Instr. Level Parallelism, 2000
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000
Proceedings of the 33rd Annual IEEE/ACM International Symposium on Microarchitecture, 2000
Proceedings of the ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, 2000
1999
IEEE Micro, 1999
Int. J. Parallel Program., 1999
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999
Proceedings of the 13th international conference on Supercomputing, 1999
1998
The SimpleScalar tool set as an instructional tool: experiences and future directions.
Proceedings of the 1998 workshop on Computer architecture education, 1998
Proceedings of the ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, 1998
Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, 1998
1997
Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, 1997
Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, 1997
1996
Proceedings of the 23rd Annual International Symposium on Computer Architecture, 1996
Hardware and software mechanisms for reducing load latency.
PhD thesis, 1996
1995
Proceedings of the 28th Annual International Symposium on Microarchitecture, Ann Arbor, Michigan, USA, November 29, 1995
Proceedings of the 22nd Annual International Symposium on Computer Architecture, 1995
1994
Proceedings of the ACM SIGPLAN'94 Conference on Programming Language Design and Implementation (PLDI), 1994
1992
Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, 1992