Takeshi Yoshimura
According to our database1,
Takeshi Yoshimura
authored at least 130 papers
between 1982 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
2023
Objcache: An Elastic Filesystem over External Persistent Storage for Container Clusters.
CoRR, 2023
2022
AutoDECK: Automated Declarative Performance Evaluation and Tuning Framework on Kubernetes.
Proceedings of the IEEE 15th International Conference on Cloud Computing, 2022
MicroLens: A Performance Analysis Framework for Microservices Using Hidden Metrics With BPF.
Proceedings of the IEEE 15th International Conference on Cloud Computing, 2022
2020
Proceedings of the Knowledge Management and Acquisition for Intelligent Systems, 2020
Investigating Genome Analysis Pipeline Performance on GATK with Cloud Object Storage.
Proceedings of the 28th International Symposium on Modeling, 2020
Proceedings of the 13th IEEE International Conference on Cloud Computing, 2020
2019
Chat-Oriented Dialogue System That Uses User Information Acquired Through Dialogue and Its Long-Term Evaluation.
Proceedings of the Increasing Naturalness and Flexibility in Spoken Dialogue Interaction, 2019
Proceedings of the 11th USENIX Workshop on Hot Topics in Storage and File Systems, 2019
2018
Approximate-DCT-Derived Measurement Matrices with Row-Operation-Based Measurement Compression and its VLSI Architecture for Compressed Sensing.
IEICE Trans. Electron., 2018
Proceedings of the 28th International Symposium on Power and Timing Modeling, 2018
Proceedings of the IEEE 61st International Midwest Symposium on Circuits and Systems, 2018
Refinement of Utterance Database and Concatenation of Utterances for Enhancing System Utterances in Chat-oriented Dialogue System.
Proceedings of the Linguistic and Cognitive Approaches To Dialog Agents Workshop co-located with the 27th International Joint Conference on Artificial Intelligence and the 23rd European Conference on Artificial Intelligence (IJCAI-ECAI 2018), 2018
Proceedings of the IEEE International Conference on Big Data (IEEE BigData 2018), 2018
Proceedings of the 11th IEEE International Conference on Cloud Computing, 2018
2017
A Dual-Clock VLSI Design of H.265 Sample Adaptive Offset Estimation for 8k Ultra-HD TV Encoding.
IEEE Trans. Very Large Scale Integr. Syst., 2017
Interconnection Allocation Between Functional Units and Registers in High-Level Synthesis.
IEEE Trans. Very Large Scale Integr. Syst., 2017
VLSI Implementation of HEVC Motion Compensation With Distance Biased Direct Cache Mapping for 8K UHDTV Applications.
IEEE Trans. Circuits Syst. Video Technol., 2017
A Unified Scheduling Approach for Power and Resource Optimization With Multiple V<sub>dd</sub> or/and V<sub>th</sub> in High-Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2017
IEEE J. Solid State Circuits, 2017
Framework and VLSI Architecture of Measurement-Domain Intra Prediction for Compressively Sensed Visual Contents.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2017
Proceedings of the 27th International Symposium on Power and Timing Modeling, 2017
A particle swarm optimization and branch and bound based algorithm for economical smart home scheduling.
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Energy-efficient scheduling method with cross-loop model for resource-limited CNN accelerator designs.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Chain-NN: An energy-efficient 1D chain architecture for accelerating deep convolutional neural networks.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Application of on-line machine learning in optimization algorithms: A case study for local search.
Proceedings of the 2017 9th Computer Science and Electronic Engineering Conference, 2017
Proceedings of the 12th IEEE International Conference on ASIC, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
J. Inf. Process., 2016
Proceedings of the 14th IEEE International New Circuits and Systems Conference, 2016
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016
Proceedings of the IEEE 59th International Midwest Symposium on Circuits and Systems, 2016
Proceedings of the 2016 IEEE International Solid-State Circuits Conference, 2016
Power-efficient partitioning and cluster generation design for application-specific Network-on-Chip.
Proceedings of the International SoC Design Conference, 2016
2015
High Performance VLSI Architecture of H.265/HEVC Intra Prediction for 8K UHDTV Video Decoder.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015
Unified Parameter Decoder Architecture for H.265/HEVC Motion Vector and Boundary Strength Decoding.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2015
Tabu search based multiple voltage scheduling under both timing and resource constraints.
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
Simultaneous scheduling and binding for resource usage and interconnect complexity reduction in high-level synthesis.
Proceedings of the 2015 IEEE 11th International Conference on ASIC, 2015
2014
Mobility Overlap-Removal-Based Leakage Power and Register-Aware Scheduling in High-Level Synthesis.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2014
Proceedings of the 20th IEEE Pacific Rim International Symposium on Dependable Computing, 2014
Unified VLSI Architecture of Motion Vector and Boundary Strength Parameter Decoder for 8K UHDTV HEVC Decoder.
Proceedings of the Advances in Multimedia Information Processing - PCM 2014, 2014
Proceedings of the 25th IEEE International Symposium on Software Reliability Engineering Workshops, 2014
Proceedings of the 10th Workshop on Hot Topics in System Dependability, 2014
Integration of Push-Based and Pull-Based Connectivity Status Sharing for Efficient Data Forwarding towards Mobile Sinks in Wireless Sensor Networks.
Proceedings of the 28th IEEE International Conference on Advanced Information Networking and Applications, 2014
2013
Inf. Media Technol., 2013
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013
IEICE Trans. Electron., 2013
Proceedings of the International Symposium on Quality Electronic Design, 2013
Mobility overlap-removal based leakage power aware scheduling in high-level synthesis.
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Proceedings of the 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013), 2013
Network simplex method based Multiple Voltage Scheduling in Power-efficient High-level synthesis.
Proceedings of the 18th Asia and South Pacific Design Automation Conference, 2013
Lagrangian relaxation based pin assignment and Through-Silicon Via planning for 3-D SoCs.
Proceedings of the IEEE 10th International Conference on ASIC, 2013
Proceedings of the IEEE 10th International Conference on ASIC, 2013
Timing and resource constrained leakage power aware scheduling in high-level synthesis.
Proceedings of the IEEE 10th International Conference on ASIC, 2013
Interconnection allocation between functional units and registers in High-Level Synthesis.
Proceedings of the IEEE 10th International Conference on ASIC, 2013
Proceedings of the IEEE 10th International Conference on ASIC, 2013
2012
Cluster Generation and Network Component Insertion for Topology Synthesis of Application-Specific Network-on-Chips.
IEICE Trans. Electron., 2012
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2012
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 2012
Proceedings of the 25th Symposium on Integrated Circuits and Systems Design, 2012
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the Eighth Workshop on Hot Topics in System Dependability, HotDep 2012, 2012
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2012
2011
Proceedings of the IEEE Third International Workshop on Software Aging and Rejuvenation, 2011
Application-specific Network-on-Chip synthesis: Cluster generation and network component insertion.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Hypothesis Preservation Approach to Scene Text Recognition with Weighted Finite-State Transducer.
Proceedings of the 2011 International Conference on Document Analysis and Recognition, 2011
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011
Proceedings of the 2011 IEEE 9th International Conference on ASIC, 2011
2010
Multi-layer floorplanning for stacked ICs: Configuration number and fixed-outline constraints.
Integr., 2010
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2010
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2010), May 30, 2010
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems, 2010
2009
IPSJ Trans. Syst. LSI Des. Methodol., 2009
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2009
Performance enhancement of TFI-OFDM with path selection based channel identification.
Digit. Signal Process., 2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
Proceedings of the 10th International Symposium on Quality of Electronic Design (ISQED 2009), 2009
Proceedings of the Design, Automation and Test in Europe, 2009
2008
Fixed-Outline Floorplanning: Block-Position Enumeration and a New Method for Calculating Area Costs.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IPSJ Trans. Syst. LSI Des. Methodol., 2008
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2008
Proceedings of the Advances in Multimedia Modeling, 2008
2007
Score Sequence Pair Problems of (<i>r</i><sub>11</sub>, <i>r</i><sub>12</sub>, <i>r</i><sub>22</sub>)-Tournaments - - Determination of Realizability - - .
IEICE Trans. Inf. Syst., 2007
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2007
Proceedings of the 2007 International Symposium on Physical Design, 2007
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2007), 2007
2006
Hierarchical-Analysis-Based Fast Chip-Scale Power Estimation Method for Large and Complex LSIs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2006
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006
On the Number of 3-D IC Floorplan Configurations and a Solution Perturbation Method with Good Convergence.
Proceedings of the IEEE Asia Pacific Conference on Circuits and Systems 2006, 2006
2005
Real-time video transport optimization using streaming agent over 3G wireless networks.
IEEE Trans. Multim., 2005
An Engineering Change Orders Design Method Based on Patchwork-Like Partitioning for High Performance LSIs.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2005
A fast chip-scale power estimation method for large and complex LSIs based on hierarchical analysis.
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
2004
Double feedback streaming agent for real-time delivery of media over 3G wireless networks.
IEEE Trans. Multim., 2004
A fast hardware/software co-verification method for system-on-a-chip by using a C/C++ simulator and FPGA emulator with shared register communication.
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, 2004
2003
Proceedings of the 23rd International Conference on Distributed Computing Systems Workshops (ICDCS 2003 Workshops), 2003
Proceedings of IEEE International Conference on Communications, 2003
2002
Multiple-Reference Compression of RTP/UDP/IP Headers for Mobile Multimedia Communications.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002
A Minimum Bandwidth Guaranteed Service Model and Its Implementation on Wireless Packet Scheduler.
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2002
Proceedings of the Eleventh International World Wide Web Conference, 2002
Rate-distortion optimized application-level retransmission using streaming agent for video streaming over 3G wireless network.
Proceedings of the 2002 International Conference on Image Processing, 2002
Rate and robustness control with RTP monitoring agent for mobile multimedia streaming.
Proceedings of the IEEE International Conference on Communications, 2002
Proceedings of the IEEE 5th Workshop on Multimedia Signal Processing, 2002
2001
RObust Header Compression (ROHC): Framework and four profiles: RTP, UDP, ESP, and uncompressed.
RFC, July, 2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
2000
An enhanced perturbing algorithm for floorplan design using the O-tree representation.
Proceedings of the 2000 International Symposium on Physical Design, 2000
1999
Proceedings of the 36th Conference on Design Automation, 1999
1995
A Partitioning-Based Logic Optimization Method for Large Scale Circuits with Boolean Matrix.
Proceedings of the 32st Conference on Design Automation, 1995
1992
Proceedings of the 29th Design Automation Conference, 1992
1990
Proceedings of the 27th ACM/IEEE Design Automation Conference. Orlando, 1990
1989
Future Gener. Comput. Syst., 1989
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
Proceedings of the IEEE International Conference on Acoustics, 1989
1987
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1987
1984
Proceedings of the 21st Design Automation Conference, 1984
1982
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1982