Tajana Simunic Rosing

According to our database1, Tajana Simunic Rosing authored at least 95 papers between 2003 and 2023.

Collaborative distances:

Timeline

Legend:

Book 
In proceedings 
Article 
PhD thesis 
Dataset
Other 

Links

On csauthors.net:

Bibliography

2023
HD2FPGA: Automated Framework for Accelerating Hyperdimensional Computing on FPGAs.
Proceedings of the 24th International Symposium on Quality Electronic Design, 2023

Towards a Robust and Efficient Classifier for Real World Radio Signal Modulation Classification.
Proceedings of the IEEE International Conference on Acoustics, 2023

2021
A Drone-based System for Intelligent and Autonomous Homes.
Proceedings of the SenSys '21: The 19th ACM Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15, 2021

Massively Parallel Big Data Classification on a Programmable Processing In-Memory Architecture.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021

tiny-HD: Ultra-Efficient Hyperdimensional Computing Engine for IoT Applications.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021

Invited: Accelerating Fully Homomorphic Encryption with Processing in Memory.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021

Automating Reliable and Fault-Tolerant Design of LoRa-based IoT Networks.
Proceedings of the 17th International Conference on Network and Service Management, 2021

2020
LENARD: Lightweight ENsemble LeARner for MeDium-term Electricity Consumption Prediction.
Proceedings of the 2020 IEEE International Conference on Communications, 2020

Implementing binary neural networks in memory with approximate accumulation.
Proceedings of the ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, 2020

RESPIRE: Robust Sensor Placement Optimization in Probabilistic Environments.
Proceedings of the 2020 IEEE Sensors, Rotterdam, The Netherlands, October 25-28, 2020, 2020

Revisiting FPGA Routing under Varying Operating Conditions.
Proceedings of the International Conference on Field-Programmable Technology, 2020

THRIFTY: Training with Hyperdimensional Computing across Flash Hierarchy.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2020

CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic Hardware.
Proceedings of the 57th ACM/IEEE Design Automation Conference, 2020

Reliability-Driven Deployment in Energy-Harvesting Sensor Networks.
Proceedings of the 16th International Conference on Network and Service Management, 2020

2019
HR<sup>3</sup>AM: A Heat Resilient Design for RRAM-based Neuromorphic Computing.
Proceedings of the 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, 2019

Hierarchical and Distributed Machine Learning Inference Beyond the Edge.
Proceedings of the 16th IEEE International Conference on Networking, Sensing and Control, 2019

Thermal-Aware Design and Flow for FPGA Performance Improvement.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019

2018
Efficient human activity recognition using hyperdimensional computing.
Proceedings of the 8th International Conference on the Internet of Things, 2018

2017
Accuracy-resource tradeoff for edge devices in Internet of Things.
Proceedings of the 2017 IEEE International Conference on Pervasive Computing and Communications Workshops, 2017

Context-aware and user-centric residential energy management.
Proceedings of the 2017 IEEE International Conference on Pervasive Computing and Communications Workshops, 2017

Multi-variable Dynamic Power Management for the GPU Subsystem.
Proceedings of the 54th Annual Design Automation Conference, 2017

Cross-platform machine learning characterization for task allocation in IoT ecosystems.
Proceedings of the IEEE 7th Annual Computing and Communication Workshop and Conference, 2017

2016
Time-series clustering for data analysis in Smart Grid.
Proceedings of the 2016 IEEE International Conference on Smart Grid Communications, 2016

An Interactive Context-aware Power Management Technique for Optimizing Sensor Network Lifetime.
Proceedings of the SENSORNETS 2016, 2016

Low power data-aware STT-RAM based hybrid cache architecture.
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016

A Modular Approach to Context-Aware IoT Applications.
Proceedings of the First IEEE International Conference on Internet-of-Things Design and Implementation, 2016

VarDroid: Online Variability Emulation in Android/Linux Platforms.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

DCC: Double Capacity Cache Architecture for Narrow-Width Values.
Proceedings of the 26th edition on Great Lakes Symposium on VLSI, 2016

Optimal in-network packet aggregation policy for maximum information freshness.
Proceedings of the European Conference on Networks and Communications, 2016

Resistive configurable associative memory for approximate computing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

MASC: Ultra-low energy multiple-access single-charge TCAM for approximate computing.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016

2015
Hierarchical design of robust and low data dependent FinFET based SRAM array.
Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures, 2015

Characterization of User's Behavior Variations for Design of Replayable Mobile Workloads.
Proceedings of the Mobile Computing, Applications, and Services, 2015

Modeling and Mitigation of Extra-SoC Thermal Coupling Effects and Heat Transfer Variations in Mobile Devices.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

Smartphone Analysis and Optimization based on User Activity Recognition.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

CAUSE: Critical Application Usage-Aware Memory System using Non-volatile Memory for Mobile Devices.
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015

S2Sim: Smart Grid Swarm Simulator.
Proceedings of the Sixth International Green and Sustainable Computing Conference, 2015

Transmission Manager in Heterogeneous WSNs.
Proceedings of the 2015 IEEE Global Communications Conference, 2015

GazeTube: Gaze-Based Adaptive Video Playback for Bandwidth and Power Optimizations.
Proceedings of the 2015 IEEE Global Communications Conference, 2015

Dynamic Optical Switching for Latency Sensitive Applications.
Proceedings of the Eleventh ACM/IEEE Symposium on Architectures for networking and communications systems, 2015

2014
TESLA: Taylor expanded solar analog forecasting.
Proceedings of the 2014 IEEE International Conference on Smart Grid Communications, 2014

Distributed control of a swarm of buildings connected to a smart grid: demo abstract.
Proceedings of the 1st ACM Conference on Embedded Systems for Energy-Efficient Buildings, 2014

User-centric joint power and thermal management for smartphones.
Proceedings of the 6th International Conference on Mobile Computing, 2014

Leveraging application context for efficient sensing.
Proceedings of the 2014 IEEE Ninth International Conference on Intelligent Sensors, 2014

Dynamic variability management in mobile multicore processors under lifetime constraints.
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014

An On-line Reliability Emulation Framework.
Proceedings of the 12th IEEE International Conference on Embedded and Ubiquitous Computing, 2014

Ambient variation-tolerant and inter components aware thermal management for mobile system on chips.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

A Linux-governor based Dynamic Reliability Manager for android mobile devices.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014

2013
ECO-DAC Energy Control over Divide and Control.
Proceedings of the IEEE Fourth International Conference on Smart Grid Communications, 2013

Efficient energy management and data recovery in sensor networks using latent variables based tensor factorization.
Proceedings of the 16th ACM International Conference on Modeling, 2013

Residential energy simulation and scheduling: A case study approach.
Proceedings of the 2013 IEEE Symposium on Computers and Communications, 2013

Power modeling and thermal management techniques for manycores.
Proceedings of the 2013 IEEE Symposium on Computers and Communications, 2013

vGreenNet: Managing Server and Networking Resources of Co-Located Heterogeneous VMs.
Proceedings of the 2013 IEEE International Symposium on Parallel & Distributed Processing, 2013

HomeSim: Comprehensive, smart, residential electrical energy simulation and scheduling.
Proceedings of the International Green Computing Conference, 2013

A novel protocol for adaptive broadcasting of sensor data in urban scenarios.
Proceedings of the 2013 IEEE Global Communications Conference, 2013

Temperature aware thread block scheduling in GPGPUs.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

Workload and user experience-aware dynamic reliability management in multicore processors.
Proceedings of the 50th Annual Design Automation Conference 2013, 2013

2012
CitiSense: improving geospatial environmental assessment of air quality using a wireless personal exposure monitoring system.
Proceedings of the Wireless Health 2012, 2012

Fan-speed-aware scheduling of data intensive jobs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012

Managing distributed UPS energy for effective power capping in data centers.
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012

Model-driven adaptive wireless sensing for environmental healthcare feedback systems.
Proceedings of IEEE International Conference on Communications, 2012

Themis: Energy Efficient Management of Workloads in Virtualized Data Centers.
Proceedings of the Euro-Par 2012: Parallel Processing Workshops, 2012

TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

MAPG: Memory access power gating.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

Benefits of green energy and proportionality in high speed wide area networks connecting data centers.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012

2011
A scheduling algorithm for consistent monitoring results with solar powered high-performance wireless embedded systems.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011

Distributed thermal management for embedded heterogeneous MPSoCs with dedicated hardware accelerators.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011

Temperature-Aware Scheduling for Embedded Heterogeneous MPSoCs with Special Purpose IP Cores.
Proceedings of 20th International Conference on Computer Communications and Networks, 2011

2010
Energy efficient proactive thermal management in memory subsystem.
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010

Package-Aware Scheduling of embedded workloads for temperature and Energy management on heterogeneous MPSoCs.
Proceedings of the 28th International Conference on Computer Design, 2010

DVFS based task scheduling in a harvesting WSN for Structural Health Monitoring.
Proceedings of the Design, Automation and Test in Europe, 2010

Energy-efficient variable-flow liquid cooling in 3D stacked architectures.
Proceedings of the Design, Automation and Test in Europe, 2010

GentleCool: Cooling aware proactive workload scheduling in multi-machine systems.
Proceedings of the Design, Automation and Test in Europe, 2010

Hybrid dynamic energy and thermal management in heterogeneous embedded multiprocessor SoCs.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

Cool and save: cooling aware dynamic workload scheduling in multi-socket CPU systems.
Proceedings of the 15th Asia South Pacific Design Automation Conference, 2010

2009
Thermal Modeling and Management of Liquid-Cooled 3D Stacked Architectures.
Proceedings of the VLSI-SoC: Technologies for Systems Integration, 2009

Evaluating the impact of job scheduling and power management on processor lifetime for chip multiprocessors.
Proceedings of the Eleventh International Joint Conference on Measurement and Modeling of Computer Systems, 2009

Predict and act: dynamic thermal management for multi-core processors.
Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009

Poster abstract: Energy management in wireless healthcare systems.
Proceedings of the 8th International Conference on Information Processing in Sensor Networks, 2009

Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures.
Proceedings of the 12th Euromicro Conference on Digital System Design, 2009

Dynamic thermal management in 3D multicore architectures.
Proceedings of the Design, Automation and Test in Europe, 2009

2008
Accurate Temperature Estimation for Efficient Thermal Management.
Proceedings of the 9th International Symposium on Quality of Electronic Design (ISQED 2008), 2008

Proactive temperature management in MPSoCs.
Proceedings of the 2008 International Symposium on Low Power Electronics and Design, 2008

Proactive temperature balancing for low cost thermal management in MPSoCs.
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008

An analytical model for the upper bound on temperature differences on a chip.
Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, 2008

Temperature management in multiprocessor SoCs using online learning.
Proceedings of the 45th Design Automation Conference, 2008

Temperature-aware MPSoC scheduling for reducing hot spots and gradients.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008

2007
Dynamic voltage frequency scaling for multi-tasking systems using online learning.
Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007

Active sensing platform for wireless structural health monitoring.
Proceedings of the 6th International Conference on Information Processing in Sensor Networks, 2007

Temperature aware task scheduling in MPSoCs.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007

2006
Scheduling Data Delivery in Heterogeneous Wireless Sensor Networks.
Proceedings of the Eigth IEEE International Symposium on Multimedia (ISM 2006), 2006

Dynamic power management using machine learning.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006

A simulation methodology for reliability analysis in multi-core SoCs.
Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30, 2006

2004
Energy estimation of peripheral devices in embedded systems.
Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, 2004

2003
Heterogeneous Wireless Network Management.
Proceedings of the Power-Aware Computer Systems, Third International Workshop, 2003


  Loading...