Sudhanva Gurumurthi
Orcid: 0000-0002-1740-7304
According to our database1,
Sudhanva Gurumurthi
authored at least 68 papers
between 2001 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Harpocrates: Breaking the Silence of CPU Faults through Hardware-in-the-Loop Program Generation.
Proceedings of the 51st ACM/IEEE Annual International Symposium on Computer Architecture, 2024
Proceedings of the 30th IEEE International Symposium on On-Line Testing and Robust System Design, 2024
2023
CoRR, 2023
Proceedings of the 50th Annual International Symposium on Computer Architecture, 2023
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2023
2022
IEEE Micro, 2022
Reliability, Availability, and Serviceability Challenges for Heterogeneous System Design.
Proceedings of the IEEE International Reliability Physics Symposium, 2022
Proceedings of the HPDC '22: The 31st International Symposium on High-Performance Parallel and Distributed Computing, Minneapolis, MN, USA, 27 June 2022, 2022
2021
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
2019
Proceedings of the 28th International Symposium on High-Performance Parallel and Distributed Computing, 2019
2018
Proceedings of the Workshop on Memory Centric High Performance Computing, 2018
Proceedings of the 2018 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2018
2016
IEEE Trans. Parallel Distributed Syst., 2016
ePVF: An Enhanced Program Vulnerability Factor Methodology for Cross-Layer Resilience Analysis.
Proceedings of the 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2016
2015
Proceedings of the 5th Workshop on Fault Tolerance for HPC at eXtreme Scale, 2015
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015
2014
Calculating Architectural Vulnerability Factors for Spatial Multi-Bit Transient Faults.
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 2014 IEEE International Symposium on Performance Analysis of Systems and Software, 2014
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014
Proceedings of the 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the Computing Frontiers Conference, CF'14, 2014
2013
Datacenter Scale Evaluation of the Impact of Temperature on Hard Disk Drive Failures.
ACM Trans. Storage, 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the International Conference for High Performance Computing, 2013
Proceedings of the 2013 IEEE 21st International Symposium on Modelling, 2013
2012
Proceedings of the Handbook of Energy-Aware and Green Computing - Two Volume Set., 2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
2011
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01735-3, 2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Delivering on the promise of universal memory for spin-transfer torque RAM (STT-RAM).
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Proceedings of the 2011 IEEE/ACM International Conference on Computer-Aided Design, 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
2010
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2010
Proceedings of the 2nd USENIX Workshop on Hot Topics in Storage and File Systems, 2010
Proceedings of the International Green Computing Conference 2010, 2010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
2009
IEEE Micro, 2009
Balancing soft error coverage with lifetime reliability in redundantly multithreaded processors.
Proceedings of the 17th Annual Meeting of the IEEE/ACM International Symposium on Modelling, 2009
Differentiating the roles of IR measurement and simulation for power and temperature-aware design.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2009
2008
Sensitivity Based Power Management of Enterprise Storage Systems.
Proceedings of the 16th International Symposium on Modeling, 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Active storage revisited: the case for power and performance benefits for unstructured data processing applications.
Proceedings of the 5th Conference on Computing Frontiers, 2008
2007
Proceedings of the 34th International Symposium on Computer Architecture (ISCA 2007), 2007
Proceedings of the 44th Design Automation Conference, 2007
2006
ACM Trans. Storage, 2006
Understanding the performance-temperature interactions in disk I/O of server workloads.
Proceedings of the 12th International Symposium on High-Performance Computer Architecture, 2006
Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, 2006
2005
Disk Drive Roadmap from the Thermal Perspective: A Case for Dynamic Thermal Management.
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005
2004
A Complexity-Effective Approach to ALU Bandwidth Enhancement for Instruction-Level Temporal Redundancy.
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004
2003
Interplay of energy and performance for disk arrays running transaction processing workloads.
Proceedings of the 2003 IEEE International Symposium on Performance Analysis of Systems and Software, 2003
Proceedings of the 30th International Symposium on Computer Architecture (ISCA 2003), 2003
Energy and Performance Considerations in Work Partitioning for Mobile Spatial Queries.
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Proceedings of the 2003 International Conference on Dependable Systems and Networks (DSN 2003), 2003
2002
VLDB J., 2002
Using Complete Machine Simulation for Software Power Estimation: The SoftWatt Approach.
Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), 2002
2001
Proceedings of the VLDB 2001, 2001