Shlomo Weiss
Orcid: 0000-0002-0341-2743
According to our database1,
Shlomo Weiss
authored at least 62 papers
between 1983 and 2021.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2021
2020
J. Syst. Archit., 2020
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
2016
An NoC Simulator That Supports Deflection Routing, GPU/CPU Integration, and Co-Simulation.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2016
2015
DNOC: an accurate and fast virtual channel and deflection routing network-on-chip simulator.
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
2014
L1-L2 Interconnect Design Methodology and Arbitration in 3-D IC Multicore Compute Clusters.
IEEE Trans. Very Large Scale Integr. Syst., 2014
Protein Sequence Pattern Matching: Leveraging Application Specific Hardware Accelerators.
IEEE Trans. Computers, 2014
J. Comput. Sci., 2014
J. Comput. Phys., 2014
2013
Low-latency adaptive mode transitions and hierarchical power management in asymmetric clustered cores.
ACM Trans. Archit. Code Optim., 2013
Proceedings of the International Conference on Computational Science, 2013
2012
IEEE Trans. Circuits Syst. I Regul. Pap., 2012
Comput. Sci. Rev., 2012
Virtio network paravirtualization driver: Implementation and performance of a de-facto standard.
Comput. Stand. Interfaces, 2012
Proceedings of the 2012 Interconnection Network Architecture, 2012
Proceedings of the Architecture of Computing Systems - ARCS 2012 - 25th International Conference, Munich, Germany, February 28, 2012
2010
Microprocess. Microsystems, 2010
Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010
2009
Trans. High Perform. Embed. Archit. Compil., 2009
IEEE Trans. Circuits Syst. II Express Briefs, 2009
ACM Trans. Archit. Code Optim., 2009
2008
ACM Trans. Archit. Code Optim., 2008
Thrifty BTB: A comprehensive solution for dynamic power reduction in branch target buffers.
Microprocess. Microsystems, 2008
IEEE Comput. Archit. Lett., 2008
2007
ACM Trans. Archit. Code Optim., 2007
2006
Microprocess. Microsystems, 2006
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006
2004
Microprocess. Microsystems, 2004
Proceedings of the 3rd Workshop on Memory Performance Issues, 2004
2003
IEEE Trans. Veh. Technol., 2003
Class-Based Decompressor Design for Compressed Instruction Memory in Embedded Processors.
IEEE Trans. Computers, 2003
Proceedings of the 2003 Data Compression Conference (DCC 2003), 2003
2002
A PCI bus simulation framework and some simulation results on PCI standard 2.1 latency limitations.
J. Syst. Archit., 2002
Proceedings of the Tenth International Symposium on Hardware/Software Codesign, 2002
2001
Proceedings of the Ninth International Symposium on Hardware/Software Codesign, 2001
1999
1998
IEEE Trans. Computers, 1998
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998
1995
Implementing Register Interlocks in Parallel-Pipeline Multiple Instruction Queue, Superscalar Processors.
Proceedings of the 1st IEEE Symposium on High-Performance Computer Architecture (HPCA 1995), 1995
1994
1993
IEEE Parallel Distributed Technol. Syst. Appl., 1993
1992
IEEE Trans. Software Eng., 1992
1991
Multiple-Port Memory Access in Decoupled Architecture Processors.
Proceedings of the International Conference on Parallel Processing, 1991
1990
ACM Trans. Math. Softw., 1990
1989
Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, 1989
1986
Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, 1986
1984
Proceedings of the 21st Design Automation Conference, 1984
Recovery of In-Memory Data Structures for Interactive Update Applications.
Proceedings of the COMPCON'84, Digest of Papers, Twenty-Eighth IEEE Computer Society International Conference, San Francisco, California, USA, February 27, 1984
1983
Proceedings of the 20th Design Automation Conference, 1983