Shaoshan Liu
Orcid: 0000-0002-6618-1567Affiliations:
- PerceptIn, CA, USA
According to our database1,
Shaoshan Liu
authored at least 142 papers
between 2006 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on acm.org
-
on id.loc.gov
-
on d-nb.info
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
VAP: The Vulnerability-Adaptive Protection Paradigm Toward Reliable Autonomous Machines.
CoRR, 2024
CoRR, 2024
Connect. Sci., 2024
Accelerating Autonomous Path Planning on FPGAs with Sparsity-Aware HW/SW Co-Optimizations.
Proceedings of the 2024 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2024
ORIANNA: An Accelerator Generation Framework for Optimization-based Robotic Applications.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
2023
Acheiving United Nations' SDG3 Through Empowering Health Artificial Intelligence on Resource-Constrained Mobile Devices Without Connectivity.
SIGCAS Comput. Soc., December, 2023
SIGCAS Comput. Soc., December, 2023
Comparison of Rationales and Approaches of Artificial Intelligence Regulatory Policies in the U.S, EU and China Draws Recommendations on a 'Fit for Purpose' Global AI Governance Mechanism.
SIGCAS Comput. Soc., September, 2023
A Distributed Pricing Strategy for Edge Computation Offloading Optimization in Autonomous Driving.
IEEE Netw., September, 2023
Commun. ACM, September, 2023
IEEE Trans. Computers, July, 2023
SIGCAS Comput. Soc., April, 2023
Timely Fusion of Surround Radar/Lidar for Object Detection in Autonomous Driving Systems.
CoRR, 2023
A Comprehensive Review and Systematic Analysis of Artificial Intelligence Regulation Policies.
CoRR, 2023
AI Clinics on Mobile (AICOM): Universal AI Doctors for the Underserved and Hard-to-Reach.
CoRR, 2023
CoRR, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Invited: Autonomous Driving Digital Twin Empowered Design Automation: An Industry Perspective.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2022
Communication Challenges in Infrastructure-Vehicle Cooperative Autonomous Driving: A Field Deployment Perspective.
IEEE Wirel. Commun., 2022
Rise of the Automotive Health-Domain Controllers: Empowering Healthcare Services in Intelligent Vehicles.
IEEE Internet Things J., 2022
Thales: Formulating and Estimating Architectural Vulnerability Factors for DNN Accelerators.
CoRR, 2022
CoRR, 2022
INTERNEURON: A Middleware with Multi-Network Communication Reliability for Infrastructure Vehicle Cooperative Autonomous Driving.
CoRR, 2022
CoRR, 2022
π-Learner: A Lifelong Roadside Learning Framework for Infrastructure Augmented Autonomous Driving.
Computer, 2022
Autonomous Vehicles Digital Twin: A Practical Paradigm for Autonomous Driving System Development.
Computer, 2022
Brief Industry Paper: Enabling Level-4 Autonomous Driving on a Single $1k Off-the-Shelf Card.
Proceedings of the 28th IEEE Real-Time and Embedded Technology and Applications Symposium, 2022
Brief Industry Paper: The Necessity of Adaptive Data Fusion in Infrastructure-Augmented Autonomous Driving System.
Proceedings of the 28th IEEE Real-Time and Embedded Technology and Applications Symposium, 2022
Proceedings of the IEEE 33rd International Symposium on Software Reliability Engineering, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the International Conference on Embedded Software, 2022
An Energy-Efficient and Runtime-Reconfigurable FPGA-Based Accelerator for Robotic Localization Systems.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022
Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities.
Proceedings of the 4th IEEE International Conference on Artificial Intelligence Circuits and Systems, 2022
2021
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01771-1, 2021
IEEE Wirel. Commun., 2021
IEEE Robotics Autom. Lett., 2021
A Task-Aware Fine-Grained Storage Selection Mechanism for In-Memory Big Data Computing Frameworks.
Int. J. Parallel Program., 2021
The Promise of Dataflow Architectures in the Design of Processing Systems for Autonomous Machines.
CoRR, 2021
The Matter of Time - A General and Efficient System for Precise Sensor Synchronization in Robotic Computing.
CoRR, 2021
Towards Fully Intelligent Transportation through Infrastructure-Vehicle Cooperative Autonomous Driving: Challenges and Opportunities.
CoRR, 2021
Π-RT: A Runtime Framework to Enable Energy-Efficient Real-Time Robotic Vision Applications on Heterogeneous Architectures.
Computer, 2021
CoCoPIE: enabling real-time AI on off-the-shelf mobile devices via compression-compilation co-design.
Commun. ACM, 2021
Brief Industry Paper: Towards Real-Time 3D Object Detection for Autonomous Vehicles with Pruning Search.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021
Brief Industry Paper: An Infrastructure-Aided High Definition Map Data Provisioning Service for Autonomous Driving.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021
Brief Industry Paper: The Matter of Time - A General and Efficient System for Precise Sensor Synchronization in Robotic Computing.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021
Brief Industry Paper: An Edge-Based High-Definition Map Crowdsourcing Task Distribution Framework for Autonomous Driving.
Proceedings of the 27th IEEE Real-Time and Embedded Technology and Applications Symposium, 2021
Proceedings of the Network and Parallel Computing, 2021
Archytas: A Framework for Synthesizing and Dynamically Optimizing Accelerators for Robotic Localization.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Eudoxus: Characterizing and Accelerating Localization in Autonomous Machines Industry Track Paper.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Invited: Towards Fully Intelligent Transportation through Infrastructure-Vehicle Cooperative Autonomous Driving: Challenges and Opportunities.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the IEEE 45th Annual Computers, Software, and Applications Conference, 2021
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
An Energy-Efficient Quad-Camera Visual System for Autonomous Machines on FPGA Platform.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021
iELAS: An ELAS-Based Energy-Efficient Accelerator for Real-Time Stereo Matching on FPGA Platform.
Proceedings of the 3rd IEEE International Conference on Artificial Intelligence Circuits and Systems, 2021
2020
Synthesis Lectures on Computer Science, Morgan & Claypool Publishers, ISBN: 978-3-031-01805-3, 2020
$\pi$π-BA: Bundle Adjustment Hardware Accelerator Based on Distribution of 3D-Point Observations.
IEEE Trans. Computers, 2020
π-Hub: Large-scale video learning, storage, and retrieval on heterogeneous hardware platforms.
Future Gener. Comput. Syst., 2020
CoRR, 2020
An Energy-Efficient High Definition Map Data Distribution Mechanism for Autonomous Driving.
CoRR, 2020
CoRR, 2020
CoCoPIE: Making Mobile AI Sweet As PIE -Compression-Compilation Co-Design Goes a Long Way.
CoRR, 2020
Computer, 2020
IEEE Access, 2020
IEEE Access, 2020
Building the Computing System for Autonomous Micromobility Vehicles: Design Constraints and Architectural Optimizations.
Proceedings of the 53rd Annual IEEE/ACM International Symposium on Microarchitecture, 2020
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2020
2019
Proc. IEEE, 2019
PI-BA Bundle Adjustment Acceleration on Embedded FPGAs with Co-observation Optimization.
CoRR, 2019
PI-Edge: A Low-Power Edge Computing System for Real-Time Autonomous Driving Services.
CoRR, 2019
Proceedings of the Network and Parallel Computing, 2019
Energy-Efficient Data Caching Framework for Spark in Hybrid DRAM/NVM Memory Architectures.
Proceedings of the 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, 2019
π-BA: Bundle Adjustment Acceleration on Embedded FPGAs with Co-observation Optimization.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
2018
CoRR, 2018
PIRT: A Runtime Framework to Enable Energy-Efficient Real-Time Robotic Applications on Heterogeneous Architectures.
CoRR, 2018
Proceedings of the 2018 IEEE SmartWorld, 2018
Trifo-VIO: Robust and Efficient Stereo Visual Inertial Odometry Using Points and Lines.
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018
Proceedings of the 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems, 2018
PIRVS: An Advanced Visual-Inertial SLAM System with Flexible Sensor Fusion and Hardware Co-Design.
Proceedings of the 2018 IEEE International Conference on Robotics and Automation, 2018
Proceedings of the 2018 IEEE/ACM Symposium on Edge Computing, 2018
Proceedings of the 2018 IEEE 42nd Annual Computer Software and Applications Conference, 2018
2017
Synthesis Lectures on Computer Science, Morgan & Claypool Publishers, ISBN: 978-3-031-01802-2, 2017
CoRR, 2017
CoRR, 2017
Proceedings of the 2017 IEEE 7th International Symposium on Cloud and Service Computing, 2017
Proceedings of the Internet of Vehicles. Technologies and Services for Smart Cities, 2017
Proceedings of the International Conference on Field Programmable Technology, 2017
2014
An Energy and Performance Efficient DVFS Scheme for Irregular Parallel Divide-and-Conquer Algorithms on the Intel SCC.
IEEE Comput. Archit. Lett., 2014
2013
Achieving energy efficiency through runtime partial reconfiguration on reconfigurable systems.
ACM Trans. Embed. Comput. Syst., 2013
Microprocess. Microsystems, 2013
J. Comput. Sci. Technol., 2013
Proceedings of the 24th International Conference on Application-Specific Systems, 2013
2012
Achieving middleware execution efficiency: hardware-assisted garbage collection operations.
J. Supercomput., 2012
J. Supercomput., 2012
IEEE Trans. Computers, 2012
J. Interconnect. Networks, 2012
Power-Efficient Schemes via Workload Characterization on the Intel's Single-Chip Cloud Computer.
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium Workshops & PhD Forum, 2012
2011
Workload characterization of cryptography algorithms for hardware acceleration (abstracts only).
SIGMETRICS Perform. Evaluation Rev., 2011
Int. J. Parallel Program., 2011
IEEE Comput. Archit. Lett., 2011
Proceedings of the ICPE'11, 2011
Proceedings of the Network and Parallel Computing - 8th IFIP International Conference, 2011
2010
The Performance Analysis and Hardware Acceleration of Crypto-computations for Enhanced Security.
Proceedings of the 16th IEEE Pacific Rim International Symposium on Dependable Computing, 2010
Hardware-assisted security mechanism: The acceleration of cryptographic operations with low hardware cost.
Proceedings of the 29th International Performance Computing and Communications Conference, 2010
Proceedings of the 39th International Conference on Parallel Processing, 2010
Proceedings of the 39th International Conference on Parallel Processing, 2010
Minimizing partial reconfiguration overhead with fully streaming DMA engines and intelligent ICAP controller (abstract only).
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010
Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, 2010
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
On energy efficiency of reconfigurable systems with run-time partial reconfiguration.
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
2009
IEEE Trans. Computers, 2009
Packer: An innovative space-time-efficient parallel garbage collection algorithm based on virtual spaces.
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Proceedings of the 33rd Annual IEEE International Computer Software and Applications Conference, 2009
Proceedings of the 6th Conference on Computing Frontiers, 2009
2008
The potential of fine-grained value prediction in enhancing the performance of modern parallel machines.
Proceedings of the 13th Asia-Pacific Computer Systems Architecture Conference, 2008
2007
Proceedings of the Advances in Computer Systems Architecture, 2007
2006
Proceedings of the 28th International Conference of the IEEE Engineering in Medicine and Biology Society, 2006