Seda Ogrenci Memik
Orcid: 0000-0001-8327-9585Affiliations:
- Northwestern University, Department of Electrical and Computer Engineering, Evanston, IL, USA
According to our database1,
Seda Ogrenci Memik
authored at least 108 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Frontiers Big Data, 2024
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Proceedings of the IEEE International Parallel and Distributed Processing Symposium, 2024
2023
Beyond PID Controllers: PPO with Neuralized PID Policy for Proton Beam Intensity Control in Mu2e.
CoRR, 2023
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
2022
Frontiers Big Data, 2022
2021
ACM Trans. Design Autom. Electr. Syst., 2021
A reconfigurable neural network ASIC for detector front-end data compression at the HL-LHC.
CoRR, 2021
hls4ml: An Open-Source Codesign Workflow to Empower Scientific Low-Power Machine Learning Devices.
CoRR, 2021
2020
IEEE Trans. Very Large Scale Integr. Syst., 2020
2019
A Combined Arithmetic-High-Level Synthesis Solution to Deploy Partial Carry-Save Radix-8 Booth Multipliers in Datapaths.
IEEE Trans. Circuits Syst. I Regul. Pap., 2019
2018
Machine Learning-Based Temperature Prediction for Runtime Thermal Management Across System Components.
IEEE Trans. Parallel Distributed Syst., 2018
Proceedings of the 36th IEEE International Conference on Computer Design, 2018
2017
IEEE Trans. Very Large Scale Integr. Syst., 2017
Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems, 2017
Proceedings of the IEEE International Symposium on Circuits and Systems, 2017
Evaluating irregular memory access on OpenCL FPGA platforms: A case study with XSBench.
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
2016
IEEE Trans. Computers, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium, 2015
Proceedings of the 33rd IEEE International Conference on Computer Design, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
b-HiVE: a bit-level history-based error model with value correlation for voltage-scaled integer and floating point units.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 2015 IEEE International Conference on Big Data (IEEE BigData 2015), Santa Clara, CA, USA, October 29, 2015
2014
Improving circuit performance with multispeculative additive trees in high-level synthesis.
Microelectron. J., 2014
2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
A fragmentation aware High-Level Synthesis flow for low power heterogenous datapaths.
Integr., 2013
EURASIP J. Adv. Signal Process., 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
2012
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2012
2011
A Distributed Controller for Managing Speculative Functional Units in High Level Synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2011), 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
SACTA: A Self-Adjusting Clock Tree Architecture for Adapting to Thermal-Induced Delay Variation.
IEEE Trans. Very Large Scale Integr. Syst., 2010
IEEE Trans. Very Large Scale Integr. Syst., 2010
ACM Trans. Reconfigurable Technol. Syst., 2010
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
An Interior Point Optimization Solver for Real Time Inter-frame Collision Detection: Exploring Resource-Accuracy-Platform Tradeoffs.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Optimization of an on-chip active cooling system based on thin-film thermoelectric coolers.
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 47th Design Automation Conference, 2010
2009
An ILP Formulation for the Task Graph Scheduling Problem Tailored to Bi-Dimensional Reconfigurable Architectures.
Int. J. Reconfigurable Comput., 2009
FPGA Implementation of the Interior-Point Algorithm with Applications to Collision Detection.
Proceedings of the FCCM 2009, 2009
2008
ACM Trans. Design Autom. Electr. Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
EBOARST: An Efficient Edge-Based Obstacle-Avoiding Rectilinear Steiner Tree Construction Algorithm.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2008
ACM Trans. Archit. Code Optim., 2008
Proceedings of the ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 2008
An <i>O</i>(<i>n</i>log<i>n</i>) edge-based algorithm for obstacle-avoiding rectilinear steiner tree construction.
Proceedings of the 2008 International Symposium on Physical Design, 2008
Towards an "early neural circuit simulator": A FPGA implementation of processing in the rat whisker system.
Proceedings of the FPL 2008, 2008
Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction.
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the 45th Design Automation Conference, 2008
2007
Managing Reconfigurable Resources in Heterogeneous Cores Using Portable Pre-Synthesized Templates.
Proceedings of the International Symposium on System-on-Chip, 2007
A novel SoC design methodology combining adaptive software and reconfigurable hardware.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
2006
IEEE Trans. Very Large Scale Integr. Syst., 2006
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2006), 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Physical aware frequency selection for dynamic thermal management in multi-core systems.
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Combining hardware reconfiguration and adaptive computation for a novel SoC design methodology.
Proceedings of the 2006 IEEE International Conference on Field Programmable Technology, 2006
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006
Proceedings of the Forum on specification and Design Languages, 2006
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006
A Sensor Distribution Algorithm for FPGAs with Minimal Dynamic Reconfiguration Overhead.
Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2006
Proceedings of the 43rd Design Automation Conference, 2006
2005
ACM Trans. Design Autom. Electr. Syst., 2005
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2005
J. Low Power Electron., 2005
Peak temperature control and leakage reduction during binding in high level synthesis.
Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005
Proceedings of the International Symposium on Circuits and Systems (ISCAS 2005), 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), 2005
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
J. Circuits Syst. Comput., 2004
Proceedings of the Field Programmable Logic and Application, 2004
Proceedings of the 12th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2004), 2004
2003
IEEE Trans. Computers, 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
Proceedings of the 40th Design Automation Conference, 2003
2002
ACM Trans. Design Autom. Electr. Syst., 2002
Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Design and Analysis of a Layer Seven Network Processor Accelerator Using Reconfigurable Logic.
Proceedings of the 10th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2002), 2002
2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Integrating Scheduling and Physical Design into a Coherent Compilation Cycle for Reconfigurable Computing Architectures.
Proceedings of the 38th Design Automation Conference, 2001
Proceedings of ASP-DAC 2001, 2001
2000
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000