Sarita V. Adve
Orcid: 0000-0002-3403-5119Affiliations:
- University of Illinois at Urbana-Champaign, IL, USA
According to our database1,
Sarita V. Adve
authored at least 127 papers
between 1990 and 2024.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2010, "For contributions to hardware and language memory models, and to low-power and resilient systems.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
Under-canopy dataset for advancing simultaneous localization and mapping in agricultural robotics.
Int. J. Robotics Res., 2024
Towards Energy-Efficiency by Navigating the Trilemma of Energy, Latency, and Accuracy.
CoRR, 2024
14.5 A 12nm Linux-SMP-Capable RISC-V SoC with 14 Accelerator Types, Distributed Hardware Power Management and Flexible NoC-Based Data Orchestration.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024
Proceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques, 2024
2023
Trireme: Exploration of Hierarchical Multi-level Parallelism for Hardware Acceleration.
ACM Trans. Embed. Comput. Syst., 2023
Proceedings of the IEEE Conference Virtual Reality and 3D User Interfaces, 2023
Proceedings of the IEEE Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, 2023
Proceedings of the IEEE Conference on Virtual Reality and 3D User Interfaces Abstracts and Workshops, 2023
ApproxCaliper: A Programmable Framework for Application-aware Neural Network Optimization.
Proceedings of the Sixth Conference on Machine Learning and Systems, 2023
2022
ACM Trans. Archit. Code Optim., 2022
IEEE Micro, 2022
IEEE Micro, 2022
CoRR, 2022
Trireme: Exploring Hierarchical Multi-Level Parallelism for Domain Specific Hardware Acceleration.
CoRR, 2022
Proceedings of the IEEE/RSJ International Conference on Intelligent Robots and Systems, 2022
2021
Proceedings of the PPoPP '21: 26th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2021
Proceedings of the 32nd IEEE International Symposium on Software Reliability Engineering, 2021
Proceedings of the IEEE International Symposium on Workload Characterization, 2021
2020
ACM Trans. Archit. Code Optim., 2020
CoRR, 2020
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2020
Proceedings of the 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2020
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020
2019
Proc. ACM Program. Lang., 2019
Proceedings of the 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2019
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, 2019
2018
Proceedings of the 23rd ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2018
2017
Chasing Away RAts: Semantics and Evaluation for Relaxed Atomics on Heterogeneous Systems.
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
HeteroSync: A benchmark suite for fine-grained synchronization on tightly coupled GPUs.
Proceedings of the 2017 IEEE International Symposium on Workload Characterization, 2017
2016
Approxilyzer: Towards a systematic framework for instruction-level approximate computing and its application to hardware resiliency.
Proceedings of the 49th Annual IEEE/ACM International Symposium on Microarchitecture, 2016
GSI: A GPU Stall Inspector to characterize the sources of memory stalls for tightly coupled GPUs.
Proceedings of the 2016 IEEE International Symposium on Performance Analysis of Systems and Software, 2016
Resilience characterization of a vision analytics application under varying degrees of approximation.
Proceedings of the 2016 IEEE International Symposium on Workload Characterization, 2016
Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, 2016
2015
Efficient GPU synchronization without scopes: saying no to complex consistency models.
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
DeNovoSync: Efficient Support for Arbitrary Synchronization without Writer-Initiated Invalidations.
Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, 2015
2014
ACM Trans. Archit. Code Optim., 2014
ACM Trans. Archit. Code Optim., 2014
Proceedings of the ACM/IEEE 41st International Symposium on Computer Architecture, 2014
2013
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2013
2012
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks, 2012
CrashTest'ing SWAT: Accurate, gate-level evaluation of symptom-based resiliency solutions.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Relyzer: exploiting application-level fault equivalence to analyze application resiliency to transient faults.
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
2011
Proceedings of the 38th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, 2011
Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 2011 International Conference on Parallel Architectures and Compilation Techniques, 2011
2010
Commun. ACM, 2010
Proceedings of the ACM SIGGRAPH/EUROGRAPHICS Conference on High Performance Graphics 2010, 2010
2009
GRACE-2: integrating fine-grained application adaptation with global adaptation for saving energy.
Int. J. Embed. Syst., 2009
Proceedings of the 28th Annual ACM Symposium on Principles of Distributed Computing, 2009
Proceedings of the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, 2009
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
Proceedings of the 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 2009
2008
Guest Editors' Introduction: Top Picks from the Computer Architecture Conferences of 2007.
IEEE Micro, 2008
Proceedings of the ACM SIGPLAN 2008 Conference on Programming Language Design and Implementation, 2008
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2008
Proceedings of the 35th International Symposium on Computer Architecture (ISCA 2008), 2008
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008
Proceedings of the 38th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2008
Understanding the propagation of hard errors to software and implications for resilient system design.
Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, 2008
2007
ACM Trans. Archit. Code Optim., 2007
ACM Trans. Archit. Code Optim., 2007
Managing energy-performance tradeoffs for multithreaded applications on multiprocessor architectures.
Proceedings of the 2007 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2007
Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2007
2006
IEEE Trans. Mob. Comput., 2006
2005
ACM Trans. Storage, 2005
J. Parallel Distributed Comput., 2005
Proceedings of the 32nd ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, 2005
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005
Proceedings of the 2005 International Conference on Dependable Systems and Networks (DSN 2005), 28 June, 2005
2004
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004
Proceedings of the 31st International Symposium on Computer Architecture (ISCA 2004), 2004
Performance Modeling and Programming Environments for Petaflops Computers and the Blue Gene Machine.
Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), 2004
Proceedings of the 18th Annual International Conference on Supercomputing, 2004
Proceedings of the 2004 International Conference on Dependable Systems and Networks (DSN 2004), 28 June, 2004
Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, 2004
2003
Proceedings of the 17th Annual International Conference on Supercomputing, 2003
Proceedings of the 2003 International Conference on Image Processing, 2003
2002
Proceedings of the 23rd IEEE Real-Time Systems Symposium (RTSS'02), 2002
Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), 2002
2001
Saving energy with architectural and frequency adaptations for multimedia applications.
Proceedings of the 34th Annual International Symposium on Microarchitecture, 2001
Variability in the execution of multimedia applications and implications for architecture.
Proceedings of the 28th Annual International Symposium on Computer Architecture, 2001
Proceedings of the 2001 International Conference on Parallel Architectures and Compilation Techniques (PACT 2001), 2001
2000
J. Instr. Level Parallelism, 2000
Proceedings of the 27th International Symposium on Computer Architecture (ISCA 2000), 2000
1999
The Impact of Exploiting Instruction-Level Parallelism on Shared-Memory Multiprocessors.
IEEE Trans. Computers, 1999
Proc. IEEE, 1999
Performance of Image and Video Processing with General-Purpose Processors and Media ISA Extensions.
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999
Improving the Accuracy vs. Speed Tradeoff for Simulating Shared-Memory Multiprocessors with ILP Processors.
Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, 1999
1998
Proceedings of the 25th Annual International Symposium on Computer Architecture, 1998
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998
Proceedings of the 25 Years of the International Symposia on Computer Architecture (Selected Papers)., 1998
Performance of Database Workloads on Shared-Memory Systems with Out-of-Order Processors.
Proceedings of the ASPLOS-VIII Proceedings of the 8th International Conference on Architectural Support for Programming Languages and Operating Systems, 1998
1997
RSIM: a simulator for shared-memory multiprocessor and uniprocessor systems that exploit ILP.
Proceedings of the 1997 workshop on Computer architecture education, 1997
Using Speculative Retirement and Larger Instruction Windows to Narrow the Performance Gap Between Memory Consistency Models.
Proceedings of the 9th Annual ACM Symposium on Parallel Algorithms and Architectures, 1997
The Interaction of Software Prefetching with ILP Processors in Shared-Memory Systems.
Proceedings of the 24th International Symposium on Computer Architecture, 1997
The Impact of Instruction-Level Parallelism on Multiprocessor Performance and Simulation Methodology.
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997
An Evaluation of Fine-Grain Producer-Initiated Communication in Cache-Coherent Multiprocessors.
Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), 1997
1996
Proceedings of the Second International Symposium on High-Performance Computer Architecture, 1996
An Evaluation of Memory Consistency Models for Shared-Memory Systems with ILP Processors.
Proceedings of the ASPLOS-VII Proceedings, 1996
1993
IEEE Trans. Parallel Distributed Syst., 1993
1992
J. Parallel Distributed Comput., 1992
1991
Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, 1991
Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, 1991
1990
Implementing Sequential Consistency in Cache-Based Systems.
Proceedings of the 1990 International Conference on Parallel Processing, 1990