Sangyeun Cho
Affiliations:- Samsung
- University of Pittsburgh
According to our database1,
Sangyeun Cho
authored at least 96 papers
between 1996 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on linkedin.com
On csauthors.net:
Bibliography
2024
Computer, February, 2024
Proceedings of the 2024 USENIX Annual Technical Conference, 2024
2023
Proceedings of the 2023 USENIX Annual Technical Conference, 2023
2018
ACM Trans. Storage, 2018
A flash memory controller for 15μs ultra-low-latency SSD using high-speed 3D NAND flash with 3μs read time.
Proceedings of the 2018 IEEE International Solid-State Circuits Conference, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the 16th USENIX Conference on File and Storage Technologies, 2018
Proceedings of the 16th USENIX Conference on File and Storage Technologies, 2018
2017
An analytical model based on performance demand of workload for energy-efficient heterogeneous multicore systems.
J. Parallel Distributed Comput., 2017
Jointly optimizing task granularity and concurrency for in-memory mapreduce frameworks.
Proceedings of the 2017 IEEE International Conference on Big Data (IEEE BigData 2017), 2017
2016
Proc. VLDB Endow., 2016
Proceedings of the 43rd ACM/IEEE Annual International Symposium on Computer Architecture, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
2015
IEEE Trans. Computers, 2015
Proceedings of the 2015 IEEE 12th Intl Conf on Ubiquitous Intelligence and Computing and 2015 IEEE 12th Intl Conf on Autonomic and Trusted Computing and 2015 IEEE 15th Intl Conf on Scalable Computing and Communications and Its Associated Workshops (UIC-ATC-ScalCom), 2015
Proceedings of the 31st IEEE International Conference on Data Engineering, 2015
Proceedings of the 31st IEEE International Conference on Data Engineering Workshops, 2015
Proceedings of the 13th USENIX Conference on File and Storage Technologies, 2015
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015
2014
IEEE Trans. Computers, 2014
Proceedings of the IEEE Non-Volatile Memory Systems and Applications Symposium, 2014
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the 20th IEEE International Symposium on High Performance Computer Architecture, 2014
Proceedings of the 6th USENIX Workshop on Hot Topics in Storage and File Systems, 2014
2013
J. Parallel Distributed Comput., 2013
IEEE Comput. Archit. Lett., 2013
Proceedings of the IEEE 19th Pacific Rim International Symposium on Dependable Computing, 2013
Memorage: emerging persistent RAM based malleable main memory and storage architecture.
Proceedings of the International Conference on Supercomputing, 2013
Proceedings of the International Conference on Supercomputing, 2013
2012
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the 41st International Conference on Parallel Processing Workshops, 2012
Proceedings of the 41st International Conference on Parallel Processing Workshops, 2012
Design and evaluation of a four-port data cache for high instruction level parallelism reconfigurable processors.
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
RDIS: A recursively defined invertible set scheme to tolerate multiple stuck-at faults in resistive memory.
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks, 2012
2011
IEEE Trans. Computers, 2011
ACM Trans. Archit. Code Optim., 2011
Advanced hashing schemes for packet forwarding using set associative memory architectures.
J. Parallel Distributed Comput., 2011
J. Parallel Distributed Comput., 2011
Proceedings of the International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures, 2011
Proceedings of the 17th IEEE Pacific Rim International Symposium on Dependable Computing, 2011
Proceedings of the NETWORKING 2011, 2011
An Analytical Performance Model for Co-management of Last-Level Cache and Bandwidth Sharing.
Proceedings of the MASCOTS 2011, 2011
In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces.
Proceedings of the MASCOTS 2011, 2011
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2011
Proceedings of the 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), 2011
Cache equalizer: a placement mechanism for chip multiprocessor distributed shared caches.
Proceedings of the High Performance Embedded Architectures and Compilers, 2011
Proceedings of the 8th Conference on Computing Frontiers, 2011
BarrierWatch: characterizing multithreaded workloads across and within program-defined epochs.
Proceedings of the 8th Conference on Computing Frontiers, 2011
Proceedings of the 2011 NASA/ESA Conference on Adaptive Hardware and Systems, 2011
2010
IEEE Trans. Parallel Distributed Syst., 2010
IEEE Trans. Computers, 2010
Two-phase trace-driven simulation (TPTS): a fast multicore processor architecture simulation approach.
Softw. Pract. Exp., 2010
A Dynamic Pressure-Aware Associative Placement Strategy for Large Scale Chip Multiprocessors.
IEEE Comput. Archit. Lett., 2010
Proceedings of the Runtime Verification - First International Conference, 2010
Proceedings of the IEEE 26th Symposium on Mass Storage Systems and Technologies, 2010
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2009
J. Circuits Syst. Comput., 2009
Proceedings of the NETWORKING 2009, 2009
Flip-N-Write: a simple deterministic technique to improve PRAM write performance, energy and endurance.
Proceedings of the 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), 2009
An Analytical Model to Study Optimal Area Breakdown between Cores and Caches in a Chip Multiprocessor.
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2009
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2009
Proceedings of the 23rd international conference on Supercomputing, 2009
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
Proceedings of the 2009 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2009
SOS: A Software-Oriented Distributed Shared Cache Management Approach for Chip Multiprocessors.
Proceedings of the PACT 2009, 2009
2008
Guest Editors' Introduction: Interaction of Many-Core Computer Architecture and Operating Systems.
IEEE Micro, 2008
Proceedings of the 2008 International Conference on Parallel Processing, 2008
Proceedings of the 2008 International Conference on Parallel Processing, 2008
Proceedings of the 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 2008
Proceedings of the IEEE 2008 Custom Integrated Circuits Conference, 2008
Preliminary studies to develop a ubiquitous computing and health-monitoring system for wheelchair users.
Proceedings of the 3rd International ICST Conference on Body Area Networks, 2008
2007
Achieving Predictable Performance with On-Chip Shared L2 Caches for Manycore-Based Real-Time Systems.
Proceedings of the 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007
Proceedings of the 2007 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2007), 2007
A Data-Driven Statistical Approach to Analyzing Process Variation in 65nm SOI Technology.
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007
Proceedings of the 25th International Conference on Computer Design, 2007
Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, 2007
2006
Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 2006
Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006
Proceedings of the 2006 workshop on Memory System Performance and Correctness, 2006
2001
IEEE Trans. Computers, 2001
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
1999
Microprocess. Microsystems, 1999
J. Parallel Distributed Comput., 1999
Proceedings of the 32nd Annual IEEE/ACM International Symposium on Microarchitecture, 1999
Proceedings of the 26th Annual International Symposium on Computer Architecture, 1999
1998
Microprocess. Microsystems, 1998
High-Level Information - An Approach for Integrating Front-End and Back-End Compilers.
Proceedings of the 1998 International Conference on Parallel Processing (ICPP '98), 1998
1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the Euro-Par '96 Parallel Processing, 1996