Sanghamitra Roy
Orcid: 0000-0002-3927-1612
According to our database1,
Sanghamitra Roy
authored at least 84 papers
between 2003 and 2023.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2023
STRIVE: Enabling Choke Point Detection and Timing Error Resilience in a Low-Power Tensor Processing Unit.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2021
EFFORT: A Comprehensive Technique to Tackle Timing Violations and Improve Energy Efficiency of Near-Threshold Tensor Processing Units.
IEEE Trans. Very Large Scale Integr. Syst., 2021
J. Hardw. Syst. Secur., 2021
CoRR, 2021
Proceedings of the Formal Methods for Industrial Critical Systems, 2021
UPTPU: Improving Energy Efficiency of a Tensor Processing Unit through Underutilization Based Power-Gating.
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
2020
Exploring Warp Criticality in Near-Threshold GPGPU Applications Using a Dynamic Choke Point Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2020
GreenTPU: Predictive Design Paradigm for Improving Timing Error Resilience of a Near-Threshold Tensor Processing Unit.
IEEE Trans. Very Large Scale Integr. Syst., 2020
TITAN: Uncovering the Paradigm Shift in Security Vulnerability at Near-Threshold Computing.
IEEE Trans. Emerg. Top. Comput., 2020
EFFORT: Enhancing Energy Efficiency and Error Resilience of a Near-Threshold Tensor Processing Unit.
Proceedings of the 25th Asia and South Pacific Design Automation Conference, 2020
2019
Energy Efficient Network-on-Chip Architectures for Many-Core Near-Threshold Computing System.
J. Low Power Electron., 2019
Proceedings of the Formal Methods for Industrial Critical Systems, 2019
Predicting Critical Warps in Near-Threshold GPGPU Applications using a Dynamic Choke Point Analysis.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
GreenTPU: Improving Timing Error Resilience of a Near-Threshold Tensor Processing Unit.
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
Microprocess. Microsystems, 2018
IEEE Embed. Syst. Lett., 2018
ACE-GPU: Tackling Choke Point Induced Performance Bottlenecks in a Near-Threshold Computing GPU.
Proceedings of the International Symposium on Low Power Electronics and Design, 2018
Proceedings of the International Symposium on Low Power Electronics and Design, 2018
Trident: A comprehensive timing error resilient technique against choke points at NTC.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
2017
IcoNoClast: Tackling Voltage Noise in the NoC Power Supply Through Flow-Control and Routing Algorithms.
IEEE Trans. Very Large Scale Integr. Syst., 2017
ACM Trans. Design Autom. Electr. Syst., 2017
Split Latency Allocator: Process Variation-Aware Register Access Latency Boost in a Near-Threshold Graphics Processing Unit.
J. Low Power Electron., 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
PRADA: Combating voltage noise in the NoC power supply through flow-control and routing algorithms.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
Proceedings of the 53rd Annual Design Automation Conference, 2016
SwiftGPU: fostering energy efficiency in a near-threshold GPU through a tactical performance boost.
Proceedings of the 53rd Annual Design Automation Conference, 2016
2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
ACM Trans. Design Autom. Electr. Syst., 2015
J. Low Power Electron., 2015
Proceedings of the 9th International Symposium on Networks-on-Chip, 2015
Proceedings of the IEEE/ACM International Symposium on Low Power Electronics and Design, 2015
Opportunistic turbo execution in NTC: exploiting the paradigm shift in performance bottlenecks.
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
IEEE Trans. Very Large Scale Integr. Syst., 2014
Dark Silicon Aware Multicore Systems: Employing Design Automation With Architectural Insight.
IEEE Trans. Very Large Scale Integr. Syst., 2014
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
2013
IEEE Trans. Very Large Scale Integr. Syst., 2013
J. Low Power Electron., 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
2012
IEEE Trans. Very Large Scale Integr. Syst., 2012
Process variation aware DRAM design using block based adaptive body biasing algorithm.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012
Designing for dark silicon: a methodological perspective on energy efficient systems.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 30th International IEEE Conference on Computer Design, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
Proceedings of the 49th Annual Design Automation Conference 2012, 2012
2011
ACM Trans. Design Autom. Electr. Syst., 2011
Microprocess. Microsystems, 2011
Microprocessor Power Supply Noise Aware Floorplanning Using a Circuit-Architectural Framework.
J. Low Power Electron., 2011
Proceedings of the VLSI Design 2011: 24th International Conference on VLSI Design, 2011
Integrated circuit-architectural framework for PSN aware floorplanning in microprocessors.
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the 12th International Symposium on Quality Electronic Design, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
J. Low Power Electron., 2010
Proceedings of the VLSI Design 2010: 23rd International Conference on VLSI Design, 2010
A convex optimization framework for leakage aware thermal provisioning in 3D multicore architectures.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Microarchitecture aware gate sizing: A framework for circuit-architecture co-optimization.
Proceedings of the 28th International Conference on Computer Design, 2010
2008
Proceedings of the Handbook of Algorithms for Physical Design Automation., 2008
An optimal algorithm for sizing sequential circuits for industrial library based designs.
Proceedings of the 13th Asia South Pacific Design Automation Conference, 2008
2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
SmartSmooth: A linear time convexity preserving smoothing algorithm for numerically convex data with application to VLSI design.
Proceedings of the 12th Conference on Asia South Pacific Design Automation, 2007
2006
ConvexSmooth: A simultaneous convex fitting and smoothing algorithm for convex optimization problems.
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006
2005
An Algorithm for Trading Off Quantization Error with Hardware Resources for MATLAB-Based FPGA Design.
IEEE Trans. Computers, 2005
ConvexFit: an optimal minimum-error convex fitting and smoothing algorithm with application to gate-sizing.
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
2004
An algorithm for trading off quantization error with hardware resources for MATLAB based FPGA design.
Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, 2004
An algorithm for converting floating-point computations to fixed-point in MATLAB based FPGA design.
Proceedings of the 41th Design Automation Conference, 2004
2003
Pattern Recognit. Lett., 2003