Sandeep Kumar Goel
According to our database1,
Sandeep Kumar Goel
authored at least 54 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
Proceedings of the IEEE International Test Conference, 2024
Proceedings of the IEEE International Test Conference, 2024
Scan Design Using Unsupervised Machine Learning to Reduce Functional Timing and Area Impact.
Proceedings of the IEEE European Test Symposium, 2024
2023
Proceedings of the IEEE International Test Conference, 2023
2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Proceedings of the ISPD 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27, 2022
2020
IEEE J. Solid State Circuits, 2020
2019
A 7nm 4GHz Arm<sup>®</sup>-core-based CoWoS<sup>®</sup> Chiplet Design for High Performance Computing.
Proceedings of the 2019 Symposium on VLSI Circuits, Kyoto, Japan, June 9-14, 2019, 2019
2015
IEEE Trans. Computers, 2015
Efficient observation-point insertion for diagnosability enhancement in digital circuits.
Proceedings of the 2015 IEEE International Test Conference, 2015
2014
IEEE Trans. Very Large Scale Integr. Syst., 2014
Design-for-diagnosis: Your safety net in catching design errors in known good dies in CoWoS<sup>TM</sup>/3D ICs.
Proceedings of the Technical Papers of 2014 International Symposium on VLSI Design, 2014
Hybrid/Top-off Test Pattern Generation Schemes for Small-Delay Defects.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014
Circuit Topology-Based Test Pattern Generation for Small-Delay Defects.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014
Small-Delay Defect Coverage Metrics.
Proceedings of the Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits., 2014
2013
Test and debug strategy for TSMC CoWoS™ stacking process based heterogeneous 3D IC: A silicon case study.
Proceedings of the 2013 IEEE International Test Conference, 2013
2012
DfT architecture and ATPG for Interconnect tests of JEDEC Wide-I/O memory-on-logic die stacks.
Proceedings of the 2012 IEEE International Test Conference, 2012
Test challenges in designing complex 3D chips: What in on the horizon for EDA industry?: Designer track.
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base.
Proceedings of the 2011 IEEE International Test Conference, 2011
Proceedings of the 16th European Test Symposium, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
Multi-visit TAMs to Reduce the Post-Bond Test Length of 2.5D-SICs with a Passive Silicon Interposer Base.
Proceedings of the 20th IEEE Asian Test Symposium, 2011
2010
Proceedings of the 15th European Test Symposium, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
2009
Testing of SoCs with Hierarchical Cores: Common Fallacies, Test Access Optimization, and Test Scheduling.
IEEE Trans. Computers, 2009
Proceedings of the 27th IEEE VLSI Test Symposium, 2009
Proceedings of the 27th IEEE VLSI Test Symposium, 2009
Comparing the effectiveness of deterministic bridge fault and multiple-detect stuck fault patterns for physical bridge defects: A simulation and silicon study.
Proceedings of the 2009 IEEE International Test Conference, 2009
Proceedings of the 2009 IEEE International Test Conference, 2009
2007
IET Comput. Digit. Tech., 2007
2006
Proceedings of the 11th European Test Symposium, 2006
Hierarchy-aware and area-efficient test infrastructure design for core-based system chips.
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Fault detection and diagnosis with parity trees for space compaction of test responses.
Proceedings of the 43rd Design Automation Conference, 2006
2005
Proceedings of the 10th European Test Symposium, 2005
Proceedings of the 2005 Design, 2005
2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Proceedings of the 9th European Test Symposium, 2004
Proceedings of the 2004 Design, 2004
Proceedings of the 41th Design Automation Conference, 2004
2003
ACM Trans. Design Autom. Electr. Syst., 2003
J. Electron. Test., 2003
A Test Time Reduction Algorithm for Test Architecture Design for Core-Based System Chips.
J. Electron. Test., 2003
Proceedings of the 8th European Test Workshop, 2003
Layout-Driven SOC Test Architecture Design for Test Time and Wire Length Minimization.
Proceedings of the 2003 Design, 2003
2002
IEEE Des. Test Comput., 2002
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Test Resource Optimization for Multi-Site Testing of SOCs Under ATE Memory Depth Constraints.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Hierarchical Data Invalidation Analysis for Scan-Based Debug on Multiple-Clock System Chips.
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
A novel test time reduction algorithm for test architecture design for core-based system chips.
Proceedings of the 7th European Test Workshop, 2002
2000
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000