Sandeep Gupta
Orcid: 0000-0002-2585-9378Affiliations:
- University of Southern California, Department of Electrical Engineering-Systems, Los Angeles, USA
- University of Massachusetts at Amherst, MA, USA (PhD 1991)
According to our database1,
Sandeep Gupta
authored at least 187 papers
between 1988 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on ee.usc.edu
On csauthors.net:
Bibliography
2024
Systematic Generation of Memristor-Transistor Single-Phase Combinational Logic Cells.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., October, 2024
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Synthesizable 10-bit Stochastic TDC Using Common-Mode Time Dithering and Passive Approximate Adder with 0.012mm<sup>2</sup> Active Area in 12nm FinFET.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits 2024, 2024
Proceedings of the IEEE International Test Conference, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Challenges and Unexplored Frontiers in Electronic Design Automation for Superconducting Digital Logic.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
2023
Proceedings of the 41st IEEE VLSI Test Symposium, 2023
2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Proceedings of the 40th IEEE VLSI Test Symposium, 2022
Proceedings of the IEEE International Test Conference, 2022
2021
From Specification to Silicon: Towards Analog/Mixed-Signal Design Automation using Surrogate NN Models with Transfer Learning.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
HW-BCP: A Custom Hardware Accelerator for SAT Suitable for Single Chip Implementation for Large Benchmarks.
Proceedings of the ASPDAC '21: 26th Asia and South Pacific Design Automation Conference, 2021
2020
Proceedings of the 38th IEEE VLSI Test Symposium, 2020
Proceedings of the IEEE International Test Conference, 2020
2019
Future Gener. Comput. Syst., 2019
Proceedings of the 37th IEEE VLSI Test Symposium, 2019
Automatic Test Pattern Generation for timing verification and delay testing of RSFQ circuits.
Proceedings of the 37th IEEE VLSI Test Symposium, 2019
Cache Design for Yield-per-Area Maximization: Switchable Spare Columns with Disabling (SSC-Disable).
Proceedings of the 37th IEEE VLSI Test Symposium, 2019
Proceedings of the 11th International Workshop on Science Gateways, 2019
Multi-cell characterization: Developing robust cells and abstraction for Rapid Single Flux Quantum (RSFQ) Logic.
Proceedings of the IEEE International Test Conference, 2019
2017
Proceedings of the 35th IEEE VLSI Test Symposium, 2017
Proceedings of the 35th IEEE VLSI Test Symposium, 2017
Proceedings of the 9th International Workshop on Science Gateways, 2017
Wordline overdriving test: An effective predictive testing method for SRAMs against BTI aging.
Proceedings of the 18th International Symposium on Quality Electronic Design, 2017
2016
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
Using hardware testing approaches to improve software testing: Undetectable mutant identification.
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
Proceedings of the 17th International Symposium on Quality Electronic Design, 2016
2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Proceedings of the 2015 International Conference on Hardware/Software Codesign and System Synthesis, 2015
2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Optimizing redundancy design for chip-multiprocessors for flexible utility functions.
Proceedings of the 2014 International Test Conference, 2014
Efficient post-silicon validation via segmentation of process variation envelope - Global vs local variations.
Proceedings of the Fifteenth International Symposium on Quality Electronic Design, 2014
An energy-aware fault tolerant scheduling framework for soft error resilient cloud computing systems.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Proceedings of the 23rd IEEE Asian Test Symposium, 2014
Proceedings of the 23rd IEEE Asian Test Symposium, 2014
Proceedings of the 23rd IEEE Asian Test Symposium, 2014
2013
Extending pre-silicon delay models for post-silicon tasks: Validation, diagnosis, delay testing, and speed binning.
Proceedings of the 31st IEEE VLSI Test Symposium, 2013
Gate delay modeling for pre- and post-silicon timing related tasks for ultra-low power CMOS circuits.
Proceedings of the 2013 IEEE 31st International Conference on Computer Design, 2013
Using explicit output comparisons for fault tolerant scheduling (FTS) on modern high-performance processors.
Proceedings of the Design, Automation and Test in Europe, 2013
Trojan detection via delay measurements: a new approach to select paths and vectors to maximize effectiveness and minimize cost.
Proceedings of the Design, Automation and Test in Europe, 2013
An energy and deadline aware resource provisioning, scheduling and optimization framework for cloud systems.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Interplay of Failure Rate, Performance, and Test Cost in TCAM under Process Variations.
Proceedings of the 22nd Asian Test Symposium, 2013
Proceedings of the 22nd Asian Test Symposium, 2013
2012
Proceedings of the 2012 IEEE International Test Conference, 2012
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012
Proceedings of the 11th ACM Workshop on Hot Topics in Networks, 2012
Proceedings of the 2012 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2012
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 21st IEEE Asian Test Symposium, 2012
2011
A novel software-based defect-tolerance approach for application-specific embedded systems.
Proceedings of the IEEE 29th International Conference on Computer Design, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
Yield-per-Area Optimization for 6T-SRAMs Using an Integrated Approach to Exploit Spares and ECC to Efficiently Combat High Defect and Soft-Error Rates.
Proceedings of the 20th IEEE Asian Test Symposium, 2011
2010
Modeling the interactions between MAC and higher layer: A systematic approach to generate high-level scenarios from MAC-layer scenarios.
ACM Trans. Model. Comput. Simul., 2010
Design and test of latch-based circuits to maximize performance, yield, and delay test quality.
Proceedings of the 2011 IEEE International Test Conference, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Algorithms to maximize yield and enhance yield/area of pipeline circuitry by insertion of switches and redundant modules.
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 19th IEEE Asian Test Symposium, 2010
2009
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Proceedings of the 27th IEEE VLSI Test Symposium, 2009
Modeling and test generation for worst-case performance evaluation of MAC protocols for wireless ad hoc networks.
Proceedings of the 17th Annual Meeting of the IEEE/ACM International Symposium on Modelling, 2009
Proceedings of the 2009 IEEE International Test Conference, 2009
SIRUP: Switch Insertion in RedUndant Pipeline Structures for Yield and Yield/Area Improvement.
Proceedings of the Eighteentgh Asian Test Symposium, 2009
2008
An Efficient Data-Distribution Mechanism in a Processor-In-Memory (PIM) Architecture Applied to Motion Estimation.
IEEE Trans. Computers, 2008
Proceedings of the 26th IEEE VLSI Test Symposium (VTS 2008), April 27, 2008
Proceedings of the 2008 IEEE International Test Conference, 2008
Data Partitioning and Placement Schemes for Matrix Multiplications on a PIM Architecture.
Proceedings of the 7th International Symposium on Parallel and Distributed Computing (ISPDC 2008), 2008
Proceedings of the 26th International Conference on Computer Design, 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the International Conference on Computer Science and Software Engineering, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
Proceedings of the 17th IEEE Asian Test Symposium, 2008
2007
Proceedings of the 15th International Symposium on Modeling, 2007
Improving Timing-Independent Testing of Crosstalk Using Realistic Assumptions on Delay Faults.
Proceedings of the 16th Asian Test Symposium, 2007
On Generating Vectors That Invoke High Circuit Delays - Delay Testing and Dynamic Timing Analysis.
Proceedings of the 16th Asian Test Symposium, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Proceedings of the 24th IEEE VLSI Test Symposium (VTS 2006), 30 April, 2006
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006
Proceedings of the 15th Asian Test Symposium, 2006
Proceedings of the 15th Asian Test Symposium, 2006
2005
Multiple tests for each gate delay fault: higher coverage and lower test application cost.
Proceedings of the Proceedings 2005 IEEE International Test Conference, 2005
TCP vs. TCP: a systematic study of adverse impact of short-lived TCP flows on long-lived TCP flows.
Proceedings of the INFOCOM 2005. 24th Annual Joint Conference of the IEEE Computer and Communications Societies, 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
Proceedings of the 14th Asian Test Symposium (ATS 2005), 2005
2004
The STRESS method for boundary-point performance analysis of end-to-end multicast timer-suppression mechanisms.
IEEE/ACM Trans. Netw., 2004
IEEE J. Sel. Areas Commun., 2004
IEEE Des. Test Comput., 2004
Proceedings of the 22nd IEEE VLSI Test Symposium (VTS 2004), 2004
Timing-Independent Testing of Crosstalk in the Presence of Delay Producing Defects Using Surrogate Fault Models.
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
Accelerating the Kernels of BLAST with an Efficient PIM (Processor-In-Memory) Architecture.
Proceedings of the 3rd International IEEE Computer Society Computational Systems Bioinformatics Conference, 2004
Modeling and Simulation for Crosstalk Aggravated by Weak-Bridge Defects between On-Chip Interconnects.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004
Modeling and Testing Crosstalk Faults in Inter-Core Interconnects that Include Tri-State and Bi-Directional Nets.
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004
Proceedings of the 13th Asian Test Symposium (ATS 2004), 2004
2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Path-Delay Fault Simulation for Circuits with Large Numbers of Paths for Very Large Test Sets.
Proceedings of the 21st IEEE VLSI Test Symposium (VTS 2003), 27 April, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
Proceedings of the 12th Asian Test Symposium (ATS 2003), 17-19 November 2003, Xian, China, 2003
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
2002
An automatic test pattern generator for minimizing switching activity during scan testing activity.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
J. Electron. Test., 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the Proceedings IEEE International Test Conference 2002, 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Enhanced Crosstalk Fault Model and Methodology to Generate Tests for Arbitrary Inter-core Interconnect Topology.
Proceedings of the 11th Asian Test Symposium (ATS 2002), 18-20 November 2002, Guam, USA, 2002
2001
ACM Trans. Design Autom. Electr. Syst., 2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Test Generation for Maximizing Ground Bounce for Internal Circuitry with Reconvergent Fan-out.
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001
Proceedings of the 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April, 2001
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
Proceedings of the Proceedings IEEE International Test Conference 2001, Baltimore, MD, USA, 30 October, 2001
Exact fault simulation for systems on Silicon that protects each core's intellectual property.
Proceedings of the Conference on Design, Automation and Test in Europe, 2001
Proceedings of the 38th Design Automation Conference, 2001
2000
IEEE Trans. Computers, 2000
J. Electron. Test., 2000
A Framework to Minimize Test Escape and Yield Loss during IDDQ Testing: A Case Study.
Proceedings of the 18th IEEE VLSI Test Symposium (VTS 2000), 30 April, 2000
Proceedings of the 13th International Conference on VLSI Design (VLSI Design 2000), 2000
Proceedings of the Proceedings 27th Conference on Local Computer Networks, 2000
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000
Systematic testing of multicast routing protocols: analysis of forward and backward search techniques.
Proceedings of the Proceedings Ninth International Conference on Computer Communications and Networks, 2000
Systematic Performance Evaluation of Multipoint Protocols.
Proceedings of the Formal Techniques for Distributed System Development, 2000
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000
A new framework for static timing analysis, incremental timing refinement, and timing simulation.
Proceedings of the 9th Asian Test Symposium (ATS 2000), 4-6 December 2000, Taipei, Taiwan, 2000
1999
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999
1998
IEEE Trans. Very Large Scale Integr. Syst., 1998
IEEE Trans. Computers, 1998
J. Electron. Test., 1998
A Methodology for Transforming Memory Tests for In-System Testing of Direct Mapped Cache Tags.
Proceedings of the 16th IEEE VLSI Test Symposium (VTS '98), 28 April, 1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Fault-oriented Test Generation for Multicast Routing Protocol Design.
Proceedings of the Formal Description Techniques and Protocol Specification, 1998
Proceedings of the 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 1998
Proceedings of the 1998 Design, 1998
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998
Proceedings of the 7th Asian Test Symposium (ATS '98), 2-4 December 1998, Singapore, 1998
1997
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Proceedings of the 34st Conference on Design Automation, 1997
1996
A Simulator for At-Speed Robust Testing of Path Delay Faults in Combinational Circuits.
IEEE Trans. Computers, 1996
Utilization of On-Line (Concurrent) Checkers During Built-In-Self-Test and Vice Versa.
IEEE Trans. Computers, 1996
IEEE Trans. Computers, 1996
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996
Proceedings of the 33st Conference on Design Automation, 1996
A Satisfiability-Based Test Generator for Path Delay Faults in Combinational Circuts.
Proceedings of the 33st Conference on Design Automation, 1996
1995
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1995
Proceedings of the 32st Conference on Design Automation, 1995
1994
Proceedings of the 12th IEEE VLSI Test Symposium (VTS'94), 1994
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994
Proceedings of the 1994 IEEE/ACM International Conference on Computer-Aided Design, 1994
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994
Proceedings of the EDAC - The European Conference on Design Automation, ETC - European Test Conference, EUROASIC - The European Event in ASIC Design, Proceedings, February 28, 1994
Proceedings of the 31st Conference on Design Automation, 1994
1993
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993
Proceedings of the 30th Design Automation Conference. Dallas, 1993
1992
Proceedings of the 10th IEEE VLSI Test Symposium (VTS'92), 1992
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992
1991
A New Framework for Designing and Analyzing BIST Techniques and Zero Aliasing Compression.
IEEE Trans. Computers, 1991
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991
1990
IEEE Trans. Computers, 1990
Proceedings of the 20th International Symposium on Fault-Tolerant Computing, 1990
1988
A New Framework for Designing and Analyzing BIST Techniques: Computation of Exact Aliasing Probability.
Proceedings of the Proceedings International Test Conference 1988, 1988
Proceedings of the Proceedings International Test Conference 1988, 1988