Ryan Kastner
Orcid: 0000-0001-9062-5570Affiliations:
- University of California, San Diego, USA
According to our database1,
Ryan Kastner
authored at least 225 papers
between 2000 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
Turn on, Tune in, and Listen up: Maximizing Side-Channel Recovery in Cross-Platform Time-to-Digital Converters.
ACM Trans. Reconfigurable Technol. Syst., September, 2024
ACM Trans. Reconfigurable Technol. Syst., March, 2024
CGRA4ML: A Framework to Implement Modern Neural Networks for Scientific Edge Computing.
CoRR, 2024
Architectural Implications of Neural Network Inference for High Data-Rate, Low-Latency Scientific Applications.
CoRR, 2024
Proceedings of the 42nd IEEE VLSI Test Symposium, 2024
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
2023
Isadora: automated information-flow property generation for hardware security verification.
J. Cryptogr. Eng., November, 2023
IEEE Trans. Computers, February, 2023
IEEE Secur. Priv., 2023
Special Session: CAD for Hardware Security - Promising Directions for Automation of Security Assurance.
Proceedings of the 41st IEEE VLSI Test Symposium, 2023
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023
Turn on, Tune in, Listen up: Maximizing Side-Channel Recovery in Time-to-Digital Converters.
Proceedings of the 2023 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2023
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023
Automated Generation, Verification, and Ranking of Secure SoC Access Control Policies.
Proceedings of Cyber-Physical Systems and Internet of Things Week 2023, 2023
Proceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2023
2022
ACM Trans. Design Autom. Electr. Syst., 2022
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
IEEE Embed. Syst. Lett., 2022
Proceedings of the WUWNet 2022: The 16th International Conference on Underwater Networks & Systems, 2022
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
Behavioral Synthesis for Hardware Security, 2022
2021
$O(N)$O(N)-Space Spatiotemporal Filter for Reducing Noise in Neuromorphic Vision Sensors.
IEEE Trans. Emerg. Top. Comput., 2021
An Overview of Hardware Security and Trust: Threats, Countermeasures, and Design Tools.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2021
CoRR, 2021
CoRR, 2021
Special Session: CAD for Hardware Security - Automation is Key to Adoption of Solutions.
Proceedings of the 39th IEEE VLSI Test Symposium, 2021
Proceedings of the IEEE 18th International Conference on Mobile Ad Hoc and Smart Systems, 2021
Proceedings of the International Joint Conference on Neural Networks, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
iSTELLAR: intermittent Signature aTtenuation Embedded CRYPTO with Low-Level metAl Routing.
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
Proceedings of the FPGA '21: The 2021 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28, 2021
Proceedings of the 29th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2021
Proceedings of the 58th ACM/IEEE Design Automation Conference, 2021
Proceedings of the ASHES@CCS 2021: Proceedings of the 5th Workshop on Attacks and Solutions in Hardware Security, 2021
2020
Memory-Based High-Level Synthesis Optimizations Security Exploration on the Power Side-Channel.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2020
Patient Specific Biomechanics Are Clinically Significant In Accurate Computer Aided Surgical Image Guidance.
CoRR, 2020
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, 2020
2019
Introduction to the Special Section on Security in FPGA-accelerated Cloud and Datacenters.
ACM Trans. Reconfigurable Technol. Syst., 2019
D-SEA: The Underwater Depth Sensing Device for Standalone Time-Averaged Measurements.
Proceedings of the 16th IEEE International Conference on Mobile Ad Hoc and Sensor Systems Workshops, 2019
Proceedings of the Advances in Visual Computing, 2019
Proceedings of the International Conference on Computer-Aided Design, 2019
Holistic Power Side-Channel Leakage Assessment: Towards a Robust Multidimensional Metric.
Proceedings of the International Conference on Computer-Aided Design, 2019
VeriSketch: Synthesizing Secure Hardware Designs with Timing-Sensitive Information Flow Properties.
Proceedings of the 2019 ACM SIGSAC Conference on Computer and Communications Security, 2019
Proceedings of the 30th IEEE International Conference on Application-specific Systems, 2019
2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
J. Parallel Distributed Comput., 2018
Symbolic execution based test-patterns generation algorithm for hardware Trojan detection.
Comput. Secur., 2018
Self-Localization of a Deforming Swarm of Underwater Vehicles Using Impulsive Sound Sources of Opportunity.
IEEE Access, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the International Conference on Computer-Aided Design, 2018
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
A FPGA Accelerator for Real-Time 3D Non-rigid Registration Using Tree Reweighted Message Passing and Dynamic Markov Random Field Generation.
Proceedings of the 28th International Conference on Field Programmable Logic and Applications, 2018
Examining the consequences of high-level synthesis optimizations on power side-channel.
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
Proceedings of the IEEE International Conference on Big Data (IEEE BigData 2018), 2018
2017
Identifying and Measuring Security Critical Path for Uncovering Circuit Vulnerabilities.
Proceedings of the 18th International Workshop on Microprocessor and SOC Test and Verification, 2017
Proceedings of the 2017 International Conference on Computing, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Why you should care about don't cares: Exploiting internal don't care conditions for hardware Trojans.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Register transfer level information flow tracking for provably secure hardware design.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Arbitrary Precision and Complexity Tradeoffs for Gate-Level Information Flow Tracking.
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 54th Annual Design Automation Conference, 2017
Proceedings of the 28th IEEE International Conference on Application-specific Systems, 2017
2016
Proceedings of the 11th ACM International Conference on Underwater Networks & Systems, 2016
Proceedings of the 17th IEEE International Workshop on Signal Processing Advances in Wireless Communications, 2016
Proceedings of the 17th International Workshop on Microprocessor and SOC Test and Verification, 2016
Imprecise security: quality and complexity tradeoffs for hardware information flow tracking.
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016
Resolve: Generation of High-Performance Sorting Architectures from High-Level Synthesis.
Proceedings of the 2016 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2016
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016
Adaptive Threshold Non-Pareto Elimination: Re-thinking machine learning for system level design space exploration on FPGAs.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
ACM Trans. Reconfigurable Technol. Syst., 2015
ToA-TS: Time of arrival based joint time synchronization and tracking for mobile underwater systems.
Ad Hoc Networks, 2015
Ad Hoc Networks, 2015
Proceedings of the 10th International Conference on Underwater Networks & Systems, 2015
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 2015
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
2014
ACM Trans. Design Autom. Electr. Syst., 2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
Proceedings of the 11th IEEE International Conference on Mobile Ad Hoc and Sensor Systems, 2014
Real-time 3D reconstruction for FPGAs: A case study for evaluating the performance, area, and programmability trade-offs of the Altera OpenCL SDK.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 24th International Conference on Field Programmable Logic and Applications, 2014
Proceedings of the 22nd IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2014
Proceedings of the Architectural Support for Programming Languages and Operating Systems, 2014
Proceedings of the IEEE 25th International Conference on Application-Specific Systems, 2014
2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
A software-based dynamic-warp scheduling approach for load-balancing the Viola-Jones face detection algorithm on GPUs.
J. Parallel Distributed Comput., 2013
IEICE Trans. Fundam. Electron. Commun. Comput. Sci., 2013
IEEE Embed. Syst. Lett., 2013
IEEE Des. Test, 2013
Proceedings of the Conference on Underwater Networks and Systems, 2013
Proceedings of the 2013 ACM SIGPLAN Workshop on Programming Languages and Analysis for Security, 2013
SurfNoC: a low latency and provably non-interfering approach to secure networks-on-chip.
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Proceedings of the IEEE Third International Conference on Consumer Electronics, 2013
A FPGA design for high speed feature extraction from a compressed measurement stream.
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the 23rd International Conference on Field programmable Logic and Applications, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
2012
IEEE Trans. Inf. Forensics Secur., 2012
IEEE Embed. Syst. Lett., 2012
Proceedings of the Conference on Under Water Networks, 2012
Proceedings of the 2012 IEEE/ACM International Conference on Computer-Aided Design, 2012
Proceedings of the Hardware and Software: Verification and Testing, 2012
Proceedings of the 2012 International Green Computing Conference, 2012
FPGA-GPU-CPU heterogenous architecture for real-time cardiac physiological optical mapping.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Designing a hardware in the loop wireless digital channel emulator for software defined radio.
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Proceedings of the 2012 IEEE 20th Annual International Symposium on Field-Programmable Custom Computing Machines, 2012
Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, 2012
A Qualitative Security Analysis of a New Class of 3-D Integrated Crypto Co-processors.
Proceedings of the Cryptography and Security: From Theory to Applications, 2012
2011
Integrating Embedded Computing Systems Into High School and Early Undergraduate Education.
IEEE Trans. Educ., 2011
Simulate and Eliminate: A Top-to-Bottom Design Methodology for Automatic Generation of Application Specific Architectures.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2011
Crafting a usable microkernel, processor, and I/O system with strict and provable information flow security.
Proceedings of the 38th International Symposium on Computer Architecture (ISCA 2011), 2011
Proceedings of the IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines, 2011
Proceedings of the 48th Design Automation Conference, 2011
Proceedings of the Computer Analysis of Images and Patterns, 2011
2010
ACM Trans. Reconfigurable Technol. Syst., 2010
GUSTO: An automatic generation and optimization tool for matrix inversion architectures.
ACM Trans. Embed. Comput. Syst., 2010
Int. J. Reconfigurable Comput., 2010
Proceedings of the Workshop on Underwater Networks, 2010
Channel Equalization Based on Data Reuse LMS Algorithm for Shallow Water Acoustic Communication.
Proceedings of the IEEE International Conference on Sensor Networks, 2010
Proceedings of the IEEE International Conference on Sensor Networks, 2010
Field Programmable Gate Array Implementation of Parts-Based Object Detection for Real Time Video Applications.
Proceedings of the International Conference on Field Programmable Logic and Applications, 2010
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 5th Workshop on Embedded Systems Security, 2010
Proceedings of the Twenty-Sixth Annual Computer Security Applications Conference, 2010
2009
Architectural optimization of decomposition algorithms for wireless communication systems.
Proceedings of the 2009 IEEE Wireless Communications and Networking Conference, 2009
Proceedings of the IEEE 7th Symposium on Application Specific Processors, 2009
Proceedings of the 23rd IEEE International Symposium on Parallel and Distributed Processing, 2009
Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, 2009
Bit Error Rate, Power and Area Analysis of Multiple FPGA Implementations of Underwater FSK.
Proceedings of the 2009 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2009
Proceedings of the 46th Design Automation Conference, 2009
Proceedings of the 20th IEEE International Conference on Application-Specific Systems, 2009
2008
ACM Trans. Design Autom. Electr. Syst., 2008
Comput. Secur., 2008
Proceedings of the IEEE Symposium on Application Specific Processors, 2008
Survey of hardware platforms for an energy efficient implementation of matching pursuits algorithm for shallow water networks.
Proceedings of the Third Workshop on Underwater Networks, 2008
Proceedings of the IEEE International Workshop on Hardware-Oriented Security and Trust, 2008
Proceedings of the 2008 International Conference on Field-Programmable Technology, 2008
Threats and Challenges in Reconfigurable Hardware Security.
Proceedings of the 2008 International Conference on Engineering of Reconfigurable Systems & Algorithms, 2008
Design space exploration of a cooperative MIMO receiver for reconfigurable architectures.
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008
2007
J. VLSI Signal Process., 2007
Exploring time/resource trade-offs by solving dual scheduling problems with the ant colony optimization.
ACM Trans. Design Autom. Electr. Syst., 2007
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2007
Implementation of the Alamouti OSTBC to a Distributed Set of Single-Antenna Wireless Nodes.
Proceedings of the IEEE Wireless Communications and Networking Conference, 2007
Moats and Drawbridges: An Isolation Primitive for Reconfigurable Hardware Based Systems.
Proceedings of the 2007 IEEE Symposium on Security and Privacy (S&P 2007), 2007
Proceedings of the 2007 IEEE International Symposium on Nanoscale Architectures, 2007
Combining static and dynamic defect-tolerance techniques for nanoscale memory systems.
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
2006
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Optimizing Polynomial Expressions by Algebraic Factorization and Common Subexpression Elimination.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
Application partitioning on programmable platforms using the ant colony optimization.
J. Embed. Comput., 2006
Proceedings of the First Workshop on Underwater Networks, WUWNET 2006, Los Angeles, CA, 2006
Proceedings of the 24th International Conference on Computer Design (ICCD 2006), 2006
Proceedings of the 2006 International Conference on Computer-Aided Design, 2006
Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November, 2006
Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, 2006
Proceedings of the 14th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2006), 2006
Proceedings of the Computer Security, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Design space exploration using time and resource duality with the ant colony optimization.
Proceedings of the 43rd Design Automation Conference, 2006
Proceedings of the 43rd Design Automation Conference, 2006
2005
ACM Trans. Design Autom. Electr. Syst., 2005
ACM Trans. Archit. Code Optim., 2005
Algorithm/Architecture Co-exploration for Designing Energy Efficient Wireless Channel Estimator.
J. Low Power Electron., 2005
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Efficient distributed algorithms for data fusion and node localization in mobile ad-hoc networks.
Proceedings of the IEEE 2nd International Conference on Mobile Adhoc and Sensor Systems, 2005
Proceedings of the 2005 International Conference on Computer-Aided Design, 2005
Proceedings of the 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 2005
Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, 2005
Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, 2005
Data Partitioning and Optimizations for Reconfigurable Architectures.
Proceedings of The 2005 International Conference on Engineering of Reconfigurable Systems and Algorithms, 2005
MP core: algorithm and design techniques for efficient channel estimation in wireless applications.
Proceedings of the 42nd Design Automation Conference, 2005
Reducing hardware complexity of linear DSP systems by iteratively eliminating two-term common subexpressions.
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
A High Performance Application Representation for Reconfigurable Systems.
Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms, 2004
Common Subexpression Elimination Involving Multiple Variables for Linear DSP Synthesis.
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, 2004
2003
ACM Trans. Design Autom. Electr. Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
Proceedings of the 40th Design Automation Conference, 2003
2002
ACM Trans. Design Autom. Electr. Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
Proceedings of the Fourth IEEE International Workshop on Advanced Issues of E-Commerce and Web-Based Information Systems (WECWIS'02), 2002
Proceedings of the International Conference on Compilers, 2002
2001
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2001
Proceedings of the 2001 International Symposium on Physical Design, 2001
Proceedings of the 2001 International Symposium on Physical Design, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 2001 IEEE/ACM International Conference on Computer-Aided Design, 2001
Proceedings of the 38th Design Automation Conference, 2001
2000
IEEE Des. Test Comput., 2000
3-D Floorplanning: Simulated Annealing and Greedy Placement Methods for Reconfigurable Computing Systems.
Des. Autom. Embed. Syst., 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2000), 2000