Runsheng Wang
Orcid: 0000-0002-7514-0767
According to our database1,
Runsheng Wang
authored at least 187 papers
between 1988 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2024
ACM Trans. Multim. Comput. Commun. Appl., May, 2024
DRGA-Based Second-Order Block Arnoldi Method for Model Order Reduction of MIMO RCS Circuits.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2024
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., May, 2024
A 16.38TOPS and 4.55POPS/W SRAM Computing-in-Memory Macro for Signed Operands Computation and Batch Normalization Implementation.
IEEE Trans. Circuits Syst. I Regul. Pap., April, 2024
Cascade Transformer Reasoning Embedded by Uncertainty for Occluded Person Re-Identification.
IEEE Trans. Biom. Behav. Identity Sci., April, 2024
A 4-bit Calibration-Free Computing-In-Memory Macro With 3T1C Current-Programed Dynamic-Cascode Multi-Level-Cell eDRAM.
IEEE J. Solid State Circuits, March, 2024
IEEE Trans. Intell. Transp. Syst., January, 2024
IEEE Trans. Multim., 2024
PrivQuant: Communication-Efficient Private Inference with Quantized Network/Protocol Co-Optimization.
CoRR, 2024
AdapMoE: Adaptive Sensitivity-based Expert Gating and Management for Efficient MoE Inference.
CoRR, 2024
LayoutCopilot: An LLM-powered Multi-agent Collaborative Framework for Interactive Analog Layout Design.
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
EQO: Exploring Ultra-Efficient Private Inference with Winograd-Based Protocol and Quantization Co-Optimization.
CoRR, 2024
CoRR, 2024
CoRR, 2024
CoRR, 2024
HEQuant: Marrying Homomorphic Encryption and Quantization for Communication-Efficient Private Inference.
CoRR, 2024
A strong physical unclonable function with machine learning immunity for Internet of Things application.
Sci. China Inf. Sci., 2024
First Experimental Demonstration of Self-Aligned Flip FET (FFET): A Breakthrough Stacked Transistor Technology with 2.5T Design, Dual-Side Active and Interconnects.
Proceedings of the IEEE Symposium on VLSI Technology and Circuits 2024, 2024
Proceedings of the 2024 ACM/IEEE International Symposium on Machine Learning for CAD, 2024
Proceedings of the 57th IEEE/ACM International Symposium on Microarchitecture, 2024
30.5 A Variation-Tolerant In-eDRAM Continuous-Time Ising Machine Featuring 15-Level Coefficients and Leaked Negative-Feedback Annealing.
Proceedings of the IEEE International Solid-State Circuits Conference, 2024
Proceedings of the IEEE International Reliability Physics Symposium, 2024
Sub-20-nm DRAM Technology under Negative Bias Temperature Instability (NBTI): from Characterization to Physical Origin Identification.
Proceedings of the IEEE International Reliability Physics Symposium, 2024
New Insights into the Random Telegraph Noise (RTN) in FinFETs at Cryogenic Temperature.
Proceedings of the IEEE International Reliability Physics Symposium, 2024
Investigation of Interplays between Body Biasing and Hot Carrier Degradation (HCD) in Advanced NMOS FinFETs.
Proceedings of the IEEE International Reliability Physics Symposium, 2024
Accelerating Device-Circuit Self-Heating Simulations with Dynamic Time Evolution for GAAFET.
Proceedings of the IEEE International Reliability Physics Symposium, 2024
Cross-modal Generation and Alignment via Attribute-guided Prompt for Unsupervised Text-based Person Retrieval.
Proceedings of the Thirty-Third International Joint Conference on Artificial Intelligence, 2024
An Investigation of Multi-feature Extraction and Super-resolution with Fast Microphone Arrays.
Proceedings of the IEEE International Conference on Robotics and Automation, 2024
CircuitNet 2.0: An Advanced Dataset for Promoting Machine Learning Innovations in Realistic Chip Design Environment.
Proceedings of the Twelfth International Conference on Learning Representations, 2024
Human Identification at a Distance: Challenges, Methods and Results on the Competition HID 2024.
Proceedings of the IEEE International Joint Conference on Biometrics, 2024
Proceedings of the IEEE International Conference on Acoustics, 2024
SAGERoute 2.0: Hierarchical Analog and Mixed Signal Routing Considering Versatile Routing Scenarios.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
ASCEND: Accurate yet Efficient End-to-End Stochastic Computing Acceleration of Vision Transformer.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2024
EasyACIM: An End-to-End Automated Analog CIM with Synthesizable Architecture and Agile Design Space Exploration.
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
Proceedings of the 61st ACM/IEEE Design Automation Conference, 2024
MixCIM: A Hybrid-Cell-Based Computing-in-Memory Macro with Less-Data-Movement and Activation-Memory-Reuse for Depthwise Separable Neural Networks.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2024
A 28nm 128TFLOPS/W Computing-In-Memory Engine Supporting One-Shot Floating-Point NN Inference and On-Device Fine-Tuning for Edge AI.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2024
2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications With Improved Domain-Specific Evaluation Metric and Learning Strategies.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., December, 2023
AVATAR: An Aging- and Variation-Aware Dynamic Timing Analyzer for Error-Efficient Computing.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., November, 2023
A 28 nm 16 Kb Bit-Scalable Charge-Domain Transpose 6T SRAM In-Memory Computing Macro.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2023
Equiprobability-Based Local Response Surface Method for High-Sigma Yield Estimation With Both High Accuracy and Efficiency.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., April, 2023
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., March, 2023
Efficient Aging-Aware Standard Cell Library Characterization Based on Sensitivity Analysis.
IEEE Trans. Circuits Syst. II Express Briefs, February, 2023
IEEE Robotics Autom. Lett., 2023
CoPriv: Network/Protocol Co-Optimization for Communication-Efficient Private Inference.
CoRR, 2023
HybridNet: Dual-Branch Fusion of Geometrical and Topological Views for VLSI Congestion Prediction.
CoRR, 2023
Catching the Missing EM Consequence in Soft Breakdown Reliability in Advanced FinFETs: Impacts of Self-heating, On-State TDDB, and Layout Dependence.
Proceedings of the 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits), 2023
CoPriv: Network/Protocol Co-Optimization for Communication-Efficient Private Inference.
Proceedings of the Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, 2023
Human-Like Decision Making and Planning for Autonomous Driving with Reinforcement Learning.
Proceedings of the 25th IEEE International Conference on Intelligent Transportation Systems, 2023
Proceedings of the 25th IEEE International Conference on Intelligent Transportation Systems, 2023
Double-sided Row Hammer Effect in Sub-20 nm DRAM: Physical Mechanism, Key Features and Mitigation.
Proceedings of the IEEE International Reliability Physics Symposium, 2023
Investigation of Hot Carrier Enhanced Body Bias Effect in Advanced FinFET Technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2023
MEGL: Multi-Experts Guided Learning Network for Single Camera Training Person Re-Identification.
Proceedings of the IEEE International Conference on Image Processing, 2023
MPCViT: Searching for Accurate and Efficient MPC-Friendly Vision Transformer with Heterogeneous Attention.
Proceedings of the IEEE/CVF International Conference on Computer Vision, 2023
Memory-aware Scheduling for Complex Wired Networks with Iterative Graph Optimization.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
READ: Reliability-Enhanced Accelerator Dataflow Optimization Using Critical Input Pattern Reduction.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Falcon: Accelerating Homomorphically Encrypted Convolutions for Efficient Private Mobile Network Inference.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Invited Paper: Accelerating Routability and Timing Optimization with Open-Source AI4EDA Dataset CircuitNet and Heterogeneous Platforms.
Proceedings of the IEEE/ACM International Conference on Computer Aided Design, 2023
Proceedings of the IEEE International Joint Conference on Biometrics, 2023
READ: Reliability-Enhanced Accelerator Dataflow Optimization using Critical Input Pattern Reduction.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
SAGERoute: Synergistic Analog Routing Considering Geometric and Electrical Constraints with Manual Design Compatibility.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Accurate yet Efficient Stochastic Computing Neural Acceleration with High Precision Residual Fusion.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
MTL-Designer: An Integrated Flow for Analysis and Synthesis of Microstrip Transmission Line.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Efficient Non-Linear Adder for Stochastic Computing with Approximate Spatial-Temporal Sorting Network.
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern Recognition, 2023
A Calibration-Free 15-level/Cell eDRAM Computing-in-Memory Macro with 3T1C Current-Programmed Dynamic-Cascoded MLC achieving 233-to-304-TOPS/W 4b MAC.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2023
Not your father's stochastic computing (SC)! Efficient yet Accurate End-to-End SC Accelerator Design.
Proceedings of the 15th IEEE International Conference on ASIC, 2023
2022
A 65 nm 73 kb SRAM-Based Computing-In-Memory Macro With Dynamic-Sparsity Controlling.
IEEE Trans. Circuits Syst. II Express Briefs, 2022
Efficient Compression Methods for Wire-Spread-Based Stochastic Computing Deep Neural Networks.
IEEE Trans. Circuits Syst. II Express Briefs, 2022
Senputing: An Ultra-Low-Power Always-On Vision Perception Chip Featuring the Deep Fusion of Sensing and Computing.
IEEE Trans. Circuits Syst. I Regul. Pap., 2022
CoRR, 2022
CircuitNet: an open-source dataset for machine learning applications in electronic design automation (EDA).
Sci. China Inf. Sci., 2022
Characterization and Modelling of Hot Carrier Degradation in pFETs under Vd>Vg Condition for sub-20nm DRAM Technologies.
Proceedings of the IEEE International Reliability Physics Symposium, 2022
Towards the Characterization of Full ID-VG Degradation in Transistors for Future Analog Applications.
Proceedings of the IEEE International Reliability Physics Symposium, 2022
New Insight into the Aging Induced Retention Time Degraded of Advanced DRAM Technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2022
DeePEB: A Neural Partial Differential Equation Solver for Post Exposure Baking Simulation in Lithography.
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Enabling High-Quality Uncertainty Quantification in a PIM Designed for Bayesian Neural Network.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2022
Proceedings of the 52nd IEEE European Solid-State Device Research Conference, 2022
Proceedings of the 2022 Design, Automation & Test in Europe Conference & Exhibition, 2022
AVATAR: an aging- and variation-aware dynamic timing analyzer for application-based DVAFS.
Proceedings of the DAC '22: 59th ACM/IEEE Design Automation Conference, San Francisco, California, USA, July 10, 2022
2021
IEEE Trans. Circuits Syst. I Regul. Pap., 2021
Approximate Logic Synthesis in the Loop for Designing Low-Power Neural Network Accelerator.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
Can Emerging Computing Paradigms Help Enhancing Reliability Towards the End of Technology Roadmap?
Proceedings of the IEEE International Reliability Physics Symposium, 2021
Proceedings of the IEEE/ACM International Conference On Computer Aided Design, 2021
A 16Kb Transpose 6T SRAM In-Memory-Computing Macro based on Robust Charge-Domain Computing.
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2021
2020
IEEE Trans. Circuits Syst., 2020
IEEE Trans. Circuits Syst., 2020
DaSGD: Squeezing SGD Parallelization Performance in Distributed Training Using Delayed Averaging.
CoRR, 2020
Accurate and Energy-Efficient Implementation of Non-Linear Adder in Parallel Stochastic Computing using Sorting Network.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2020
"Shift and Match" (S...M) method for channel mobility correction in degraded MOSFETs.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020
A fast and test-proven methodology of assessing RTN/fluctuation on deeply scaled nano pMOSFETs.
Proceedings of the 2020 IEEE International Reliability Physics Symposium, 2020
Proceedings of the Neural Information Processing - 27th International Conference, 2020
Proceedings of the GLSVLSI '20: Great Lakes Symposium on VLSI 2020, 2020
When Sorting Network Meets Parallel Bitstreams: A Fault-Tolerant Parallel Ternary Neural Network Accelerator based on Stochastic Computing.
Proceedings of the 2020 Design, Automation & Test in Europe Conference & Exhibition, 2020
Proceedings of the 13th IEEE International Conference on Cloud Computing, 2020
2019
New-Generation Design-Technology Co-Optimization (DTCO): Machine-Learning Assisted Modeling Framework.
CoRR, 2019
Parallel Convolutional Neural Network (CNN) Accelerators Based on Stochastic Computing.
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019
Proceedings of the 2019 IEEE International Workshop on Signal Processing Systems, 2019
Proceedings of the IEEE International Reliability Physics Symposium, 2019
Memory System Designed for Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.
Proceedings of the International Conference on IC Design and Technology, 2019
An Energy-Efficient Mixed-Signal Parallel Multiply-Accumulate (MAC) Engine Based on Stochastic Computing.
Proceedings of the 13th IEEE International Conference on ASIC, 2019
OMI/TMI-based Modeling and Fast Simulation of Random Telegraph Noise (RTN) in Advanced Logic Devices and Circuits.
Proceedings of the 13th IEEE International Conference on ASIC, 2019
2018
Investigation on NBTI-induced dynamic variability in nanoscale CMOS devices: Modeling, experimental evidence, and impact on circuits.
Microelectron. Reliab., 2018
Evaluation of SRAM V<sub>min</sub> shift induced by random telegraph noise (RTN): physical understanding and prediction method.
Proceedings of the IEEE International Symposium on Circuits and Systems, 2018
New insights into the HCI degradation of pass-gate transistor in advanced FinFET technology.
Proceedings of the IEEE International Reliability Physics Symposium, 2018
Investigation on the amplitude coupling effect of random telegraph noise (RTN) in nanoscale FinFETs.
Proceedings of the IEEE International Reliability Physics Symposium, 2018
Proceedings of the 2018 IEEE Custom Integrated Circuits Conference, 2018
Proceedings of the 23rd Asia and South Pacific Design Automation Conference, 2018
2017
Towards reliability-aware circuit design in nanoscale FinFET technology: - New-generation aging model and circuit reliability simulator.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
How close to the CMOS voltage scaling limit for FinFET technology? - Near-threshold computing and stochastic computing.
Proceedings of the 12th IEEE International Conference on ASIC, 2017
2016
Proceedings of the 2016 IEEE International Geoscience and Remote Sensing Symposium, 2016
Layout dependent BTI and HCI degradation in nano CMOS technology: A new time-dependent LDE and impacts on circuit at end of life.
Proceedings of the International Conference on IC Design and Technology, 2016
2015
Active Learning With Gaussian Process Classifier for Hyperspectral Image Classification.
IEEE Trans. Geosci. Remote. Sens., 2015
IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens., 2015
Tag Refinement for User-Contributed Images via Graph Learning and Nonnegative Tensor Factorization.
IEEE Signal Process. Lett., 2015
Signal Process. Image Commun., 2015
An MRF Model-Based Active Learning Framework for the Spectral-Spatial Classification of Hyperspectral Imagery.
IEEE J. Sel. Top. Signal Process., 2015
Class-specific Gaussian-multinomial latent Dirichlet allocation for image annotation.
EURASIP J. Adv. Signal Process., 2015
Temperature and emissivity separation and mineral mapping based on airborne TASI hyperspectral thermal infrared data.
Int. J. Appl. Earth Obs. Geoinformation, 2015
An active learning method based on SVM classifier for hyperspectral images classification.
Proceedings of the 7th Workshop on Hyperspectral Image and Signal Processing: Evolution in Remote Sensing, 2015
An active learning method based on Markov random fields for hyperspectral images classification.
Proceedings of the 7th Workshop on Hyperspectral Image and Signal Processing: Evolution in Remote Sensing, 2015
Proceedings of the 2015 IEEE International Symposium on Circuits and Systems, 2015
Proceedings of the IEEE International Reliability Physics Symposium, 2015
2014
Jointly Learning the Hybrid CRF and MLR Model for Simultaneous Denoising and Classification of Hyperspectral Imagery.
IEEE Trans. Neural Networks Learn. Syst., 2014
Regional-scale mineral mapping using ASTER VNIR/SWIR data and validation of reflectance and mineral map products using airborne hyperspectral CASI/SASI data.
Int. J. Appl. Earth Obs. Geoinformation, 2014
Proceedings of the International Conference on Internet Multimedia Computing and Service, 2014
Proceedings of the International Conference on Internet Multimedia Computing and Service, 2014
2013
IEEE Trans. Geosci. Remote. Sens., 2013
Impacts of short-channel effects on the random threshold voltage variation in nanoscale transistors.
Sci. China Inf. Sci., 2013
2012
Local multiple patterns based multiresolution gray-scale and rotation invariant texture classification.
Inf. Sci., 2012
Dynamic Learning of SCRF for Feature Selection and Classification of Hyperspectral Imagery.
Proceedings of the Structural, Syntactic, and Statistical Pattern Recognition, 2012
Self-heating effects in gate-all-around silicon nanowire MOSFETs: Modeling and analysis.
Proceedings of the Thirteenth International Symposium on Quality Electronic Design, 2012
2011
Modeling and Classifying Hyperspectral Imagery by CRFs With Sparse Higher Order Potentials.
IEEE Trans. Geosci. Remote. Sens., 2011
Microelectron. Reliab., 2011
Bilingual Teaching Reform and Practice of Engineering Student's "Professional Foreign Language" Based on Multimedia Technology.
Proceedings of the Advances in Computer Science, Environment, Ecoinformatics, and Education, 2011
Characterization and analysis of gate-all-around Si nanowire transistors for extreme scaling.
Proceedings of the 2011 IEEE Custom Integrated Circuits Conference, 2011
2010
IEEE Trans. Image Process., 2010
A Novel Hierarchical Method of Ship Detection from Spaceborne Optical Image Based on Shape and Texture Features.
IEEE Trans. Geosci. Remote. Sens., 2010
Pattern Recognit., 2010
Int. J. Inf. Acquis., 2010
2009
Using Stacked Generalization to Combine SVMs in Magnitude and Shape Feature Spaces for Classification of Hyperspectral Data.
IEEE Trans. Geosci. Remote. Sens., 2009
Int. J. Inf. Acquis., 2009
Proceedings of the IEEE International Conference on Robotics and Biomimetics, 2009
Proceedings of the 2009 Third International Conference on Multimedia and Ubiquitous Engineering, 2009
Proceedings of the Fifth International Conference on Natural Computation, 2009
2008
Learning Sparse CRFs for Feature Selection and Classification of Hyperspectral Imagery.
IEEE Trans. Geosci. Remote. Sens., 2008
Dynamic Learning of SMLR for Feature Selection and Classification of Hyperspectral Data.
IEEE Geosci. Remote. Sens. Lett., 2008
IEEE Geosci. Remote. Sens. Lett., 2008
2007
A Multiple Conditional Random Fields Ensemble Model for Urban Area Detection in Remote Sensing Optical Images.
IEEE Trans. Geosci. Remote. Sens., 2007
Using Combination of Statistical Models and Multilevel Structural Information for Detecting Urban Areas From a Single Gray-Level Image.
IEEE Trans. Geosci. Remote. Sens., 2007
Proceedings of the Computational Intelligence and Security, International Conference, 2007
Proceedings of the 10th International Conference on Computer-Aided Design and Computer Graphics, 2007
2006
IEEE Trans. Geosci. Remote. Sens., 2006
Proceedings of the 18th International Conference on Pattern Recognition (ICPR 2006), 2006
Object Detection Based on Combination of Conditional Random Field and Markov Random Field.
Proceedings of the 18th International Conference on Pattern Recognition (ICPR 2006), 2006
Proceedings of the 18th International Conference on Pattern Recognition (ICPR 2006), 2006
2005
2004
A fast automatic extraction algorithm of elliptic object groups from remote sensing images.
Pattern Recognit. Lett., 2004
Super-Resolution Reconstruction of Image Sequence Using Multiple Motion Estimation Fusion.
J. Comput. Sci. Technol., 2004
Proceedings of the 17th International Conference on Pattern Recognition, 2004
Proceedings of the 17th International Conference on Pattern Recognition, 2004
2002
Proceedings of the 16th International Conference on Pattern Recognition, 2002
1988
Proceedings of the 9th International Conference on Pattern Recognition, 1988