Ruby B. Lee
Orcid: 0000-0001-9497-0777Affiliations:
- Princeton University, Department of Electrical Engineering, NJ, USA
- Hewlett-Packard, Cupertino, CA, USA
- Stanford University, CA, USA (PhD)
According to our database1,
Ruby B. Lee
authored at least 167 papers
between 1989 and 2023.
Collaborative distances:
Collaborative distances:
Awards
ACM Fellow
ACM Fellow 2001, "For pioneering multimedia instructions in general-purpose processor architecture and innovations in the design and implementation of the instruction set architecture of RISC processors.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on id.loc.gov
-
on dl.acm.org
On csauthors.net:
Bibliography
2023
Protecting Cache States Against Both Speculative Execution Attacks and Side-channel Attacks.
CoRR, 2023
Proceedings of the Thirteenth ACM Conference on Data and Application Security and Privacy, 2023
2021
IEEE Internet Things J., 2021
Smartphone Impostor Detection with Behavioral Data Privacy and Minimalist Hardware Support.
CoRR, 2021
Proceedings of the 2021 International Symposium on Secure and Private Execution Environment Design (SEED), 2021
Proceedings of the HASP '21: Workshop on Hardware and Architectural Support for Security and Privacy, 2021
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the ASHES@CCS 2021: Proceedings of the 5th Workshop on Attacks and Solutions in Hardware Security, 2021
Proceedings of the Thirty-Fifth AAAI Conference on Artificial Intelligence, 2021
2020
Proceedings of the HASP@MICRO 2020: Hardware and Architectural Support for Security and Privacy, 2020
2019
Proceedings of the 18th IEEE International Conference On Trust, 2019
Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, 2019
Proceedings of the 35th Annual Computer Security Applications Conference, 2019
2018
Design, Implementation and Verification of Cloud Architecture for Monitoring a Virtual Machine's Security Health.
IEEE Trans. Computers, 2018
VerIDeep: Verifying Integrity of Deep Neural Networks through Sensitive-Sample Fingerprinting.
CoRR, 2018
Detecting Zero-day Controller Hijacking Attacks on the Power-Grid with Enhanced Deep Learning.
CoRR, 2018
Proceedings of the 4th International Conference on Information Systems Security and Privacy, 2018
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Proceedings of the 2018 on Asia Conference on Computer and Communications Security, 2018
Proceedings of the 34th Annual Computer Security Applications Conference, 2018
2017
IEEE Comput. Archit. Lett., 2017
Proceedings of the 2017 on Workshop on Privacy in the Electronic Society, Dallas, TX, USA, October 30, 2017
Proceedings of the 22nd ACM on Symposium on Access Control Models and Technologies, 2017
Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture, 2017
Proceedings of the Hardware and Architectural Support for Security and Privacy, 2017
Proceedings of the Information Systems Security and Privacy, 2017
Proceedings of the 3rd International Conference on Information Systems Security and Privacy, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2017
Implicit Smartphone User Authentication with Sensors and Contextual Machine Learning.
Proceedings of the 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2017
Proceedings of the 4th IEEE International Conference on Cyber Security and Cloud Computing, 2017
Proceedings of the 2017 ACM on Asia Conference on Computer and Communications Security, 2017
2016
IEEE Micro, 2016
IEEE Micro, 2016
CoRR, 2016
Proceedings of the Research in Attacks, Intrusions, and Defenses, 2016
Proceedings of the Hardware and Architectural Support for Security and Privacy 2016, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
2015
Proceedings of the 8th ACM International Systems and Storage Conference, 2015
Proceedings of the 2015 IEEE Symposium on Security and Privacy, 2015
CloudMonatt: an architecture for security health monitoring and attestation of virtual machines in cloud computing.
Proceedings of the 42nd Annual International Symposium on Computer Architecture, 2015
Proceedings of the Fourth Workshop on Hardware and Architectural Support for Security and Privacy, 2015
Proceedings of the Information Systems Security and Privacy, 2015
Proceedings of the ICISSP 2015, 2015
Proceedings of the IEEE Asian Solid-State Circuits Conference, 2015
2014
Proceedings of the 47th Annual IEEE/ACM International Symposium on Microarchitecture, 2014
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014
Proceedings of the 9th ACM Symposium on Information, Computer and Communications Security, 2014
New models of cache architectures characterizing information leakage from cache side channels.
Proceedings of the 30th Annual Computer Security Applications Conference, 2014
Proceedings of the Secure Cloud Computing, 2014
2013
Synthesis Lectures on Computer Architecture, Morgan & Claypool Publishers, ISBN: 978-3-031-01742-1, 2013
Proceedings of the HASP 2013, 2013
Proceedings of the IEEE 5th International Conference on Cloud Computing Technology and Science, 2013
Proceedings of the 2013 International Workshop on Security in Cloud Computing, 2013
BitDeposit: Deterring Attacks and Abuses of Cloud Computing Services through Economic Measures.
Proceedings of the 13th IEEE/ACM International Symposium on Cluster, 2013
2012
Proceedings of the 17th ACM Symposium on Access Control Models and Technologies, 2012
Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012
Proceedings of the ISSE 2012, 2012
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks Workshops, 2012
Proceedings of the ACM Conference on Computer and Communications Security, 2012
Proceedings of the ACM Conference on Computer and Communications Security, 2012
Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, 2012
Integration of butterfly and inverse butterfly nets in embedded processors: Effects on power saving.
Proceedings of the Conference Record of the Forty Sixth Asilomar Conference on Signals, 2012
2011
Proceedings of the Theoretical Aspects of Distributed Computing in Sensor Networks, 2011
IEEE/ACM Trans. Netw., 2011
Proceedings of the 22nd IEEE International Symposium on Rapid System Prototyping, 2011
A Case for Hardware Protection of Guest VMs from Compromised Hypervisors in Cloud Computing.
Proceedings of the 31st IEEE International Conference on Distributed Computing Systems Workshops (ICDCS 2011 Workshops), 2011
Proceedings of the 18th ACM Conference on Computer and Communications Security, 2011
2010
Proceedings of the IEEE 8th Symposium on Application Specific Processors, 2010
Proceedings of the 37th International Symposium on Computer Architecture (ISCA 2010), 2010
Proceedings of the 16th International Conference on High-Performance Computer Architecture (HPCA-16 2010), 2010
Proceedings of the 21st IEEE International Conference on Application-specific Systems Architectures and Processors, 2010
Proceedings of the Twenty-Sixth Annual Computer Security Applications Conference, 2010
2009
Hardware Mechanisms for Memory Authentication: A Survey of Existing Techniques and Engines.
Trans. Comput. Sci., 2009
A New Basis for Shifters in General-Purpose Processors for Existing and Advanced Bit Manipulations.
IEEE Trans. Computers, 2009
Securing the Dissemination of Emergency Response Data with an Integrated Hardware-Software Architecture.
Proceedings of the Trusted Computing, 2009
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009
Proceedings of the 1st ACM SIGCOMM Workshop on Virtualized Infrastructure Systems and Architectures, 2009
Proceedings of the Information Security, 12th International Conference, 2009
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009
Tantra: A Fast PRNG Algorithm and its Implementation.
Proceedings of the 2009 International Conference on Security & Management, 2009
2008
Fast Bit Gather, Bit Scatter and Bit Permutation Instructions for Commodity Microprocessors.
J. Signal Process. Syst., 2008
Alternative application-specific processor architectures for fast arbitrary bit permutations.
Int. J. Embed. Syst., 2008
Proceedings of the ReConFig'08: 2008 International Conference on Reconfigurable Computing and FPGAs, 2008
Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), 2008
Proceedings of the Information Security, 11th International Conference, 2008
Proceedings of the INFOCOM 2008. 27th IEEE International Conference on Computer Communications, 2008
Accelerating the Whirlpool Hash Function Using Parallel Table Lookup and Fast Cyclical Permutation.
Proceedings of the Fast Software Encryption, 15th International Workshop, 2008
Proceedings of the 19th IEEE International Conference on Application-Specific Systems, 2008
2007
Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution.
IEEE Trans. Very Large Scale Integr. Syst., 2007
Aiding Side-Channel Attacks on Cryptographic Software With Satisfiability-Based Analysis.
IEEE Trans. Very Large Scale Integr. Syst., 2007
Proceedings of the IEEE International Symposium on Information Theory, 2007
Proceedings of the 34th International Symposium on Computer Architecture (ISCA 2007), 2007
Proceedings of the INFOCOM 2007. 26th IEEE International Conference on Computer Communications, 2007
Proceedings of the Global Communications Conference, 2007
TEC-Tree: A Low-Cost, Parallelizable Tree for Efficient Defense Against Memory Replay Attacks.
Proceedings of the Cryptographic Hardware and Embedded Systems, 2007
Proceedings of the 2007 ACM Conference on Computer and Communications Security, 2007
Proceedings of the IEEE International Conference on Application-Specific Systems, 2007
Proceedings of the 18th IEEE Symposium on Computer Arithmetic (ARITH-18 2007), 2007
2006
Impact of Configurability and Extensibility on IPSec Protocol Execution on Embedded Processors.
Proceedings of the 19th International Conference on VLSI Design (VLSI Design 2006), 2006
Satisfiability-based framework for enabling side-channel attacks on cryptographic software.
Proceedings of the Conference on Design, Automation and Test in Europe: Designers' Forum, 2006
Fast Bit Compression and Expansion with Parallel Extract and Parallel Deposit Instructions.
Proceedings of the 2006 IEEE International Conference on Application-Specific Systems, 2006
Proceedings of the 22nd Annual Computer Security Applications Conference (ACSAC 2006), 2006
2005
PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing.
J. VLSI Signal Process., 2005
SIGARCH Comput. Archit. News, 2005
Proceedings of the International Symposium on Information Technology: Coding and Computing (ITCC 2005), 2005
New Constructive Approach to Covert Channel Modeling and Channel Capacity Estimation.
Proceedings of the Information Security, 8th International Conference, 2005
Proceedings of the 32st International Symposium on Computer Architecture (ISCA 2005), 2005
Proceedings of the 25th International Conference on Distributed Computing Systems Workshops (ICDCS 2005 Workshops), 2005
Proceedings of the 16th IEEE International Conference on Application-Specific Systems, 2005
Proceedings of the Applied Cryptography and Network Security, 2005
Proceedings of the Advances in Computer Systems Architecture, 10th Asia-Pacific Conference, 2005
2004
Proceedings of the International Conference on Information Technology: Coding and Computing (ITCC'04), 2004
Proceedings of the 2004 IEEE International Conference on Multimedia and Expo, 2004
Proceedings of the 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 15th IEEE International Conference on Application-Specific Systems, 2004
Proceedings of the Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, 2004
Distributed Denial of Service: Taxonomies of Attacks, Tools, and Countermeasures.
Proceedings of the ISCA 17th International Conference on Parallel and Distributed Computing Systems, 2004
2003
IEEE Trans. Very Large Scale Integr. Syst., 2003
IEEE Micro, 2003
Proceedings of the Security in Pervasive Computing, 2003
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
Proceedings of the 14th IEEE International Conference on Application-Specific Systems, 2003
2002
PLX: a fully subword-parallel instruction set architecture for fast scalable multimedia processing.
Proceedings of the 2002 IEEE International Conference on Multimedia and Expo, 2002
Proceedings of the 20th International Conference on Computer Design (ICCD 2002), 2002
Refining Instruction Set Architecture for High-Performance Multimedia Processing in Constrained Environments.
Proceedings of the 13th IEEE International Conference on Application-Specific Systems, 2002
2001
Proceedings of the 2001 IEEE International Conference on Multimedia and Expo, 2001
Architectural Enhancements for Fast Subword Permutations with Repetitions in Cryptographic Applications.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
Proceedings of the 15th IEEE Symposium on Computer Arithmetic (Arith-15 2001), 2001
2000
IEEE Trans. Circuits Syst. Video Technol., 2000
Proceedings of the Proceedings 27th Conference on Local Computer Networks, 2000
Proceedings of the IEEE International Symposium on Circuits and Systems, 2000
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the 12th IEEE International Conference on Application-Specific Systems, 2000
Subword Permutation Instructions for Two-Dimensional Multimedia Processing in MicroSIMD Architectures.
Proceedings of the 12th IEEE International Conference on Application-Specific Systems, 2000
1998
Proceedings of the Thirty-First Annual Hawaii International Conference on System Sciences, 1998
1997
Proceedings of the Fifth ACM International Conference on Multimedia '97, 1997
Proceedings of the Proceedings IEEE COMPCON 97, 1997
Proceedings of the Proceedings IEEE COMPCON 97, 1997
1996
Proceedings of the IEEE International Conference on Multimedia Computing and Systems, 1996
Proceedings of the Forty-First IEEE Computer Society International Conference: Technologies for the Information Superhighway, 1996
Proceedings of the Forty-First IEEE Computer Society International Conference: Technologies for the Information Superhighway, 1996
1995
Algorithmic and architectural enhancements for real-time MPEG-1 decoding on a general purpose RISC workstation.
IEEE Trans. Circuits Syst. Video Technol., 1995
Proceedings of the COMPCON '95: Technologies for the Information Superhighway, 1995
1989