Robert C. Aitken
According to our database1,
Robert C. Aitken
authored at least 126 papers
between 1988 and 2023.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2013, "For contributions to testing and diagnosis of integrated circuits".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
On csauthors.net:
Bibliography
2023
Computer, March, 2023
2022
Proceedings of the EdgeSys@EuroSys 2022: Proceedings of the 5th International Workshop on Edge Systems, Analytics and Networking, Rennes, France, April 5, 2022
2019
Special Section on Emerging Trends and Design Paradigms for Memory Systems and Storage.
IEEE Trans. Emerg. Top. Comput., 2019
Proceedings of the 38th Symposium on Reliable Distributed Systems, 2019
Analysis and Demand Forecasting of Residential Energy Consumption at Multiple Time Scales.
Proceedings of the IFIP/IEEE International Symposium on Integrated Network Management, 2019
Proceedings of the 2019 IEEE International Congress on Internet of Things, 2019
2017
Proceedings of the 2017 International Symposium on VLSI Design, Automation and Test, 2017
2016
Predicting future complementary metal-oxide-semiconductor technology - challenges and approaches.
IET Comput. Digit. Tech., 2016
Proceedings of the 34th IEEE VLSI Test Symposium, 2016
A 400mV active VMIN, 200mV retention VMIN, 2.8 GHz 64Kb SRAM with a 0.09 um<sup>2</sup> 6T bitcell in a 16nm FinFET CMOS process.
Proceedings of the 2016 IEEE Symposium on VLSI Circuits, 2016
Proceedings of the 2016 International Symposium on Low Power Electronics and Design, 2016
Proceedings of the 35th International Conference on Computer-Aided Design, 2016
2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Proceedings of the 33rd IEEE VLSI Test Symposium, 2015
Proceedings of the Sixteenth International Symposium on Quality Electronic Design, 2015
Proceedings of the 2015 IEEE Custom Integrated Circuits Conference, 2015
2014
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2014
IEEE Trans. Computers, 2014
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014
Proceedings of the International Symposium on Physical Design, 2014
A digital dynamic write margin sensor for low power read/write operations in 28nm SRAM.
Proceedings of the International Symposium on Low Power Electronics and Design, 2014
Proceedings of the 2014 IEEE International Conference on IC Design & Technology, 2014
Proceedings of the 32nd IEEE International Conference on Computer Design, 2014
Proceedings of the 2014 IEEE Hot Chips 26 Symposium (HCS), 2014
Panel: Emerging vs. established technologies, a two sphinxes' riddle at the crossroads?
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
2013
Proceedings of the 31st IEEE VLSI Test Symposium, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Leveraging sensitivity analysis for fast, accurate estimation of SRAM dynamic write V<sub>MIN</sub>.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the IEEE 2013 Custom Integrated Circuits Conference, 2013
2012
Panel: going green across communications and storage systems: control of power in non-mobile devices.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
An adaptive write word-line pulse width and voltage modulation architecture for bit-interleaved 8T SRAMs.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Proceedings of the 17th IEEE European Test Symposium, 2012
2011
Variation-aware static and dynamic writability analysis for voltage-scaled bit-interleaved 8-T SRAMs.
Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Analytical model for SRAM dynamic write-ability degradation due to gate oxide breakdown.
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 16th Asia South Pacific Design Automation Conference, 2011
High-Performance Multiprocessor System on Chip: Trends in Chip Architecture for the Mass Market.
Proceedings of the Multiprocessor System-on-Chip - Hardware Design and Tool Integration., 2011
2010
Proceedings of the 2011 IEEE International Test Conference, 2010
Asymmetric 6T SRAM with two-phase write and split bitline differential sensing for low voltage operation.
Proceedings of the 11th International Symposium on Quality of Electronic Design (ISQED 2010), 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Proceedings of the 47th Design Automation Conference, 2010
Post-silicon is too late avoiding the $50 million paperweight starts with validated designs.
Proceedings of the 47th Design Automation Conference, 2010
2009
Proceedings of the VLSI Design 2009: Improving Productivity through Higher Abstraction, 2009
Proceedings of the 2009 International Symposium on Physical Design, 2009
Proceedings of the Design, Automation and Test in Europe, 2009
Proceedings of the 46th Design Automation Conference, 2009
2008
IEEE Des. Test Comput., 2008
Proceedings of the 14th IEEE International On-Line Testing Symposium (IOLTS 2008), 2008
Proceedings of the 2008 International Conference on Computer-Aided Design, 2008
Impact of Technology and Voltage Scaling on the Soft Error Susceptibility in Nanoscale CMOS.
Proceedings of the 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 2008
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 45th Design Automation Conference, 2008
2007
Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems".
IEEE Trans. Very Large Scale Integr. Syst., 2007
Proceedings of the 8th International Symposium on Quality of Electronic Design (ISQED 2007), 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Proceedings of the 16th Asian Test Symposium, 2007
Springer, ISBN: 978-0-387-71818-7, 2007
2006
Proceedings of the 2006 IEEE International Test Conference, 2006
Proceedings of the 7th International Symposium on Quality of Electronic Design (ISQED 2006), 2006
Proceedings of the 12th IEEE International On-Line Testing Symposium (IOLTS 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
2005
Proceedings of the 11th IEEE International On-Line Testing Symposium (IOLTS 2005), 2005
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005
2004
ITC 2003 panels: Part 1.
IEEE Des. Test Comput., 2004
ITC 2003 Roundtable: Design for Manufacturability.
IEEE Des. Test Comput., 2004
Test at Gbps: Megaproblem or micromanagement?
IEEE Des. Test Comput., 2004
Proceedings of the 12th IEEE International Workshop on Memory Technology, 2004
Proceedings of the Proceedings 2004 International Test Conference (ITC 2004), 2004
From Working Design Flow to Working Chips: Dependencies and Impacts of Methodology Decisions.
Proceedings of the 2004 Design, 2004
2003
ITC Highlights.
IEEE Des. Test Comput., 2003
ITC 2003: Breaking Test Interface Bottlenecks.
IEEE Des. Test Comput., 2003
IEEE Commun. Mag., 2003
Proceedings of the 11th IEEE International Workshop on Memory Technology, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September, 2003
Proceedings of the 18th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2003), 2003
2002
IEEE Des. Test Comput., 2002
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002
Proceedings of the 20th IEEE VLSI Test Symposium (VTS 2002), Without Testing It's a Gamble, 28 April, 2002
Proceedings of the 3rd International Symposium on Quality of Electronic Design, 2002
2000
Proceedings of the Proceedings IEEE International Test Conference 2000, 2000
1999
Proceedings of the 17th IEEE VLSI Test Symposium (VTS '99), 1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
Proceedings of the Proceedings IEEE International Test Conference 1999, 1999
1998
Proceedings of the Proceedings IEEE International Test Conference 1998, 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
1997
An experimental study comparing the relative effectiveness of functional, scan, IDDq and delay-fault testing.
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the 15th IEEE VLSI Test Symposium (VTS'97), 1997
Proceedings of the Proceedings IEEE International Test Conference 1997, 1997
1996
IEEE Des. Test Comput., 1996
Proceedings of the 14th IEEE VLSI Test Symposium (VTS'96), April 28, 1996
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996
Proceedings of the Proceedings IEEE International Test Conference 1996, 1996
1995
Proceedings of the Proceedings IEEE International Test Conference 1995, 1995
1994
Proceedings of the Proceedings IEEE International Test Conference 1994, 1994
1993
IEEE Des. Test Comput., 1993
Biased Voting: A Method for Simulating CMOS Bridging Faults in the Presence of Variable Gate Logic.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993
BP-1992 A Comparison of Defect Models for Fault Location with I<sub>DDQ</sub> Measurements.
Proceedings of the Proceedings IEEE International Test Conference 1993, Designing, Testing, and Diagnostics, 1993
1992
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1992
IDDQ testing as a component of a test suite: The need for several fault coverage metrics.
J. Electron. Test., 1992
The Effectiveness of I<sub>DDQ</sub>, Functional and Scan Tests: How Many Fault Coverages Do We Need?
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992
Proceedings of the Proceedings IEEE International Test Conference 1992, 1992
1991
The Effect of Different Test Sets on Quality Level Prediction: When is 80% better than 90%?
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991
Proceedings of the Proceedings IEEE International Test Conference 1991, 1991
1989
Proceedings of the Proceedings International Test Conference 1989, 1989
Proceedings of the 1989 IEEE International Conference on Computer-Aided Design, 1989
1988
Proceedings of the 1988 IEEE International Conference on Computer-Aided Design, 1988