Rami G. Melhem
Orcid: 0000-0001-6403-5446Affiliations:
- University of Pittsburgh, Pennsylvania, USA
According to our database1,
Rami G. Melhem
authored at least 306 papers
between 1982 and 2023.
Collaborative distances:
Collaborative distances:
Awards
IEEE Fellow
IEEE Fellow 2000, "For contributions to application of optical technology and design of interconnection networks for computer systems.".
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
-
on cs.pitt.edu
On csauthors.net:
Bibliography
2023
diffReplication - An Energy-Aware Fault Tolerance Model for Silent Error Detection and Mitigation in Heterogeneous Extreme-scale Computing Environment.
J. Univers. Comput. Sci., August, 2023
2021
IEEE Trans. Computers, 2021
Differential Shadowing: A Resilience Framework for Extreme-scale, Heterogeneous Environments with Non-Uniform Node Failure Distribution.
Proceedings of the IEEE International Performance, 2021
Proceedings of the GLSVLSI '21: Great Lakes Symposium on VLSI 2021, 2021
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2021
2020
Sustain. Comput. Informatics Syst., 2020
Graphite: A NUMA-aware HPC System for Graph Analytics Based on a new MPI * X Parallelism Model.
Proc. VLDB Endow., 2020
Adaptive Page Migration for Irregular Data-intensive Applications under GPU Memory Oversubscription.
Proceedings of the 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS), 2020
Accelerating Distributed Inference of Sparse Deep Neural Networks via Mitigating the Straggler Effect.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020
Studying the Effects of Hashing of Sparse Deep Neural Networks on Data and Model Parallelisms.
Proceedings of the 2020 IEEE High Performance Extreme Computing Conference, 2020
FLOWER and FaME: A Low Overhead Bit-Level Fault-map and Fault-Tolerance Approach for Deeply Scaled Memories.
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2020
Proceedings of the 50th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2020
Proceedings of the PACT '20: International Conference on Parallel Architectures and Compilation Techniques, 2020
2019
GreenChip: A tool for evaluating holistic sustainability of modern computing systems.
Sustain. Comput. Informatics Syst., 2019
PREMSim: A Resilience Framework for Modeling Traditional and Emerging Memory Reliability.
Proceedings of the 27th IEEE International Symposium on Modeling, 2019
Proceedings of the 2019 IEEE Computer Society Annual Symposium on VLSI, 2019
Interplay between hardware prefetcher and page eviction policy in CPU-GPU unified virtual memory.
Proceedings of the 46th International Symposium on Computer Architecture, 2019
Proceedings of the 2019 IEEE International Parallel and Distributed Processing Symposium, 2019
Multithreaded Layer-wise Training of Sparse Deep Neural Networks using Compressed Sparse Column.
Proceedings of the 2019 IEEE High Performance Extreme Computing Conference, 2019
Proceedings of the Tenth International Green and Sustainable Computing Conference, 2019
Proceedings of the 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2019
Proceedings of the 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, 2019
Proceedings of the 2019 IEEE International Conference on Cluster Computing, 2019
2018
Data Block Partitioning Methods to Mitigate Stuck-At Faults in Limited Endurance Memories.
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
ACM J. Emerg. Technol. Comput. Syst., 2018
IEEE Comput. Archit. Lett., 2018
Proceedings of the International Conference for High Performance Computing, 2018
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the 24th IEEE International Conference on Parallel and Distributed Systems, 2018
A systematic fault-tolerant computational model for both crash failures and silent data corruption.
Proceedings of the 21st Conference on Innovation in Clouds, 2018
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Improving Sustainability Through Disturbance Crosstalk Mitigation in Deeply Scaled Phase-change Memory.
Proceedings of the Ninth International Green and Sustainable Computing Conference, 2018
Proceedings of the 11th IEEE International Conference on Cloud Computing, 2018
2017
A Variable Length Coding Framework for Cost Function Reduction in Non-Volatile Memory Systems.
CoRR, 2017
IEEE Comput. Archit. Lett., 2017
Proceedings of the International Symposium on Memory Systems, 2017
Proceedings of the 44th Annual International Symposium on Computer Architecture, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Proceedings of the 19th IEEE International Conference on High Performance Computing and Communications; 15th IEEE International Conference on Smart City; 3rd IEEE International Conference on Data Science and Systems, 2017
Proceedings of the Eighth International Green and Sustainable Computing Conference, 2017
Proceedings of the Eighth International Green and Sustainable Computing Conference, 2017
Harvesting Underutilized Resources to Improve Responsiveness and Tolerance to Crash and Silent Faults for Data-Intensive Applications.
Proceedings of the 2017 IEEE 10th International Conference on Cloud Computing (CLOUD), 2017
2016
ContextPreRF: Enhancing the Performance and Energy of GPUs With Nonuniform Register Access.
IEEE Trans. Very Large Scale Integr. Syst., 2016
Weighted-Tuple: Fast and Accurate Synchronization for Parallel Architecture Simulators.
IEEE Trans. Parallel Distributed Syst., 2016
IEEE Trans. Multi Scale Comput. Syst., 2016
Symmetry-Agnostic Coordinated Management of the Memory Hierarchy in Multicore Systems.
ACM Trans. Archit. Code Optim., 2016
IEEE Comput. Archit. Lett., 2016
Proceedings of the 2016 Intl IEEE Conferences on Ubiquitous Intelligence & Computing, 2016
Empirical, Analytical Study of Hardware-Based Page Swap in Hybrid Main Memory System.
Proceedings of the 28th International Symposium on Computer Architecture and High Performance Computing, 2016
Proceedings of the IEEE Computer Society Annual Symposium on VLSI, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Simultaneous Multikernel GPU: Multi-tasking throughput processors via fine-grained sharing.
Proceedings of the 2016 IEEE International Symposium on High Performance Computer Architecture, 2016
Proceedings of the Seventh International Green and Sustainable Computing Conference, 2016
Leveraging ECC to Mitigate Read Disturbance, False Reads and Write Faults in STT-RAM.
Proceedings of the 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, 2016
2015
ACM Trans. Embed. Comput. Syst., 2015
IEEE Trans. Computers, 2015
Proceedings of the IEEE Non-Volatile Memory System and Applications Symposium, 2015
SAWS: synchronization aware GPGPU warp scheduling for multiple independent warp schedulers.
Proceedings of the 48th International Symposium on Microarchitecture, 2015
Proceedings of the 23rd IEEE International Symposium on Modeling, 2015
Proceedings of the 2015 IEEE International Symposium on Performance Analysis of Systems and Software, 2015
Proceedings of the 2015 IEEE International Parallel and Distributed Processing Symposium, 2015
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
Proceedings of the 21st IEEE International Symposium on High Performance Computer Architecture, 2015
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, GLVLSI 2015, Pittsburgh, PA, USA, May 20, 2015
PRES: pseudo-random encoding scheme to increase the bit flip reduction in the memory.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Proceedings of the 52nd Annual Design Automation Conference, 2015
BandArb: mitigating the effects of thermal and process variations in silicon-photonic network.
Proceedings of the 12th ACM International Conference on Computing Frontiers, 2015
Proceedings of the 12th ACM International Conference on Computing Frontiers, 2015
Multilane Racetrack caches: Improving efficiency through compression and independent shifting.
Proceedings of the 20th Asia and South Pacific Design Automation Conference, 2015
2014
A Practical Data Classification Framework for Scalable and High Performance Chip-Multiprocessors.
IEEE Trans. Computers, 2014
Proceedings of the 22nd Euromicro International Conference on Parallel, 2014
Proceedings of the IEEE 22nd International Symposium on Modelling, 2014
Proceedings of the International Conference on Computing, Networking and Communications, 2014
Shadows on the Cloud: An Energy-aware, Profit Maximizing Resilience Framework for Cloud Computing.
Proceedings of the CLOSER 2014, 2014
Proceedings of the Computing Frontiers Conference, CF'14, 2014
2013
ACM Trans. Design Autom. Electr. Syst., 2013
PS-TLB: Leveraging page classification information for fast, scalable and efficient translation for future CMPs.
ACM Trans. Archit. Code Optim., 2013
Delta-compressed caching for overcoming the write bandwidth limitation of hybrid main memory.
ACM Trans. Archit. Code Optim., 2013
IEEE Comput. Archit. Lett., 2013
Proceedings of the IEEE 19th Pacific Rim International Symposium on Dependable Computing, 2013
Proceedings of the 40th Annual International Symposium on Computer Architecture, 2013
Proceedings of the IEEE 21st Annual Symposium on High-Performance Interconnects, 2013
Proceedings of the International Green Computing Conference, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques, 2013
2012
Compiler-Assisted Data Distribution and Network Configuration for Chip Multiprocessors.
IEEE Trans. Parallel Distributed Syst., 2012
Codesign of NoC and Cache Organization for Reducing Access Latency in Chip Multiprocessors.
IEEE Trans. Parallel Distributed Syst., 2012
IEEE Trans. Computers, 2012
Writeback-aware partitioning and replacement for last-level caches in phase change main memory systems.
ACM Trans. Archit. Code Optim., 2012
Leveraging Sharing in Second Level Translation-Lookaside Buffers for Chip Multiprocessors.
IEEE Comput. Archit. Lett., 2012
Thread Assignment Optimization with Real-Time Performance and Memory Bandwidth Guarantees for Energy-Efficient Heterogeneous Multi-core Systems.
Proceedings of the 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium, 2012
Proceedings of the 2012 Sixth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2012
Proceedings of the 39th International Symposium on Computer Architecture (ISCA 2012), 2012
Proceedings of the 26th IEEE International Parallel and Distributed Processing Symposium, 2012
Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration.
Proceedings of the International Conference on Supercomputing, 2012
RDIS: A recursively defined invertible set scheme to tolerate multiple stuck-at faults in resistive memory.
Proceedings of the IEEE/IFIP International Conference on Dependable Systems and Networks, 2012
Practically private: enabling high performance CMPs through compiler-assisted data classification.
Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, 2012
2011
J. Parallel Distributed Comput., 2011
Advanced hashing schemes for packet forwarding using set associative memory architectures.
J. Parallel Distributed Comput., 2011
J. Parallel Distributed Comput., 2011
Proceedings of the IEEE 10th International Conference on Trust, 2011
Proceedings of the NOCS 2011, 2011
Proceedings of the NETWORKING 2011, 2011
Analyzing the impact of useless write-backs on the endurance and energy consumption of PCM main memory.
Proceedings of the IEEE International Symposium on Performance Analysis of Systems and Software, 2011
Proceedings of the International Conference on Parallel Processing, 2011
Cache equalizer: a placement mechanism for chip multiprocessor distributed shared caches.
Proceedings of the High Performance Embedded Architectures and Compilers, 2011
Proceedings of the High Performance Embedded Architectures and Compilers, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
2010
IEEE Trans. Parallel Distributed Syst., 2010
A Dynamic Pressure-Aware Associative Placement Strategy for Large Scale Chip Multiprocessors.
IEEE Comput. Archit. Lett., 2010
Proceedings of the 16th IEEE Real-Time and Embedded Technology and Applications Symposium, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
Automated modeling and emulation of interconnect designs for many-core chip multiprocessors.
Proceedings of the 47th Design Automation Conference, 2010
Proceedings of the 7th Conference on Computing Frontiers, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques, 2010
2009
Compiler Techniques for Efficient Communications in Circuit Switched Networks for Multiprocessor Systems.
IEEE Trans. Parallel Distributed Syst., 2009
Oblivious routing in fat-tree based system area networks with uncertain traffic demands.
IEEE/ACM Trans. Netw., 2009
Real Time Syst., 2009
Minimizing expected energy consumption for streaming applications with linear dependencies on chip multiprocessors.
Proceedings of the IEEE Fourth International Symposium on Industrial Embedded Systems, 2009
Proceedings of the NETWORKING 2009, 2009
Proceedings of the 23rd international conference on Supercomputing, 2009
Proceedings of the 17th IEEE Symposium on High Performance Interconnects, 2009
Proceedings of the High Performance Embedded Architectures and Compilers, 2009
Considering Link Qualities in Fault-Tolerant Aggregation in Wireless Sensor Networks.
Proceedings of the Global Communications Conference, 2009. GLOBECOM 2009, Honolulu, Hawaii, USA, 30 November, 2009
Proceedings of the 2009 ACM/IEEE Symposium on Architecture for Networking and Communications Systems, 2009
2008
Parallel Process. Lett., 2008
On the Emulation of Finite-Buffered Output Queued Switches Using Combined Input-Output Queuing.
Proceedings of the Distributed Computing, 22nd International Symposium, 2008
Proceedings of the 4th International ICST Conference on Security and Privacy in Communication Networks, 2008
Modeling of the channel-hopping anti-jamming defense in multi-radio wireless networks.
Proceedings of the 5th Annual International Conference on Mobile and Ubiquitous Systems: Computing, 2008
Proceedings of the IEEE 5th International Conference on Mobile Adhoc and Sensor Systems, 2008
Proceedings of the INFOCOM 2008. 27th IEEE International Conference on Computer Communications, 2008
Proceedings of the 16th Annual IEEE Symposium on High Performance Interconnects (HOTI 2008), 2008
Proceedings of the High Performance Embedded Architectures and Compilers, 2008
2007
Proceedings of the Handbook of Parallel Computing - Models, Algorithms and Applications., 2007
Minimizing expected energy consumption in real-time systems through dynamic voltage scaling.
ACM Trans. Comput. Syst., 2007
IEEE Trans. Computers, 2007
Proceedings of the 28th IEEE Real-Time Systems Symposium (RTSS 2007), 2007
Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, 2007
Proceedings of the 2007 IEEE International Symposium on Performance Analysis of Systems and Software, 2007
Proceedings of the 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), 2007
Proceedings of the 27th IEEE International Conference on Distributed Computing Systems (ICDCS 2007), 2007
Proceedings of the 7th ACM & IEEE International conference on Embedded software, 2007
2006
Collaborative operating system and compiler power management for real-time applications.
ACM Trans. Embed. Comput. Syst., 2006
Analysis of a transmission scheduling algorithm for supporting bandwidth guarantees in bufferless networks.
SIGMETRICS Perform. Evaluation Rev., 2006
Honeypot back-propagation for mitigating spoofing distributed Denial-of-Service attacks.
J. Parallel Distributed Comput., 2006
A unified interference/collision model for optimal MAC transmission power in ad hoc networks.
Int. J. Wirel. Mob. Comput., 2006
Proceedings of the Third Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks, 2006
Interconnect routing and scheduling - Level-wise scheduling algorithm for fat tree interconnection networks.
Proceedings of the ACM/IEEE SC2006 Conference on High Performance Networking and Computing, 2006
Proceedings of the Quality of Service - IWQoS 2006: 14th International Workshop, 2006
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Honeybees: combining replication and evasion for mitigating base-station jamming in sensor networks.
Proceedings of the 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), 2006
Proceedings of the 26th IEEE International Conference on Distributed Computing Systems (ICDCS 2006), 2006
Proceedings of the 7th Annual International Conference on Digital Government Research, 2006
2005
A framework for the design, synthesis and cycle-accurate simulation of multiprocessor networks.
J. Parallel Distributed Comput., 2005
J. Embed. Comput., 2005
Proceedings of the IEEE Wireless Communications and Networking Conference, 2005
On the Feasibility of Optical Circuit Switching for High Performance Computing Systems.
Proceedings of the ACM/IEEE SC2005 Conference on High Performance Networking and Computing, 2005
Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, 2005
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the EMSOFT 2005, 2005
Proceedings of the Dependable Computing, 2005
Proceedings of the Power-aware Computing Systems, 3.-8. April 2005, 2005
2004
Periodic Reward-Based Scheduling and Its Application to Power-Aware Real-Time Systems.
Proceedings of the Handbook of Scheduling - Algorithms, Models, and Performance Analysis., 2004
IEEE Trans. Parallel Distributed Syst., 2004
Node delay assignment strategies to support end-to-end delay requirements in heterogeneous networks.
IEEE/ACM Trans. Netw., 2004
IEEE Trans. Computers, 2004
Design and analysis of a replicated elusive server scheme for mitigating denial of service attacks.
J. Syst. Softw., 2004
J. Parallel Distributed Comput., 2004
Proceedings of the 23rd IEEE International Performance Computing and Communications Conference, 2004
Proceedings of the Proceedings IEEE INFOCOM 2004, 2004
Proceedings of the 10th International Conference on Parallel and Distributed Systems, 2004
Proceedings of the 24th International Conference on Distributed Computing Systems (ICDCS 2004), 2004
Proceedings of the 2004 International Conference on Computer-Aided Design, 2004
Proceedings of the 16th Euromicro Conference on Real-Time Systems (ECRTS 2004), 30 June, 2004
Proceedings of the 1st International Conference on Broadband Networks (BROADNETS 2004), 2004
2003
A Nonpreemptive Real-Time Scheduler with Recovery from Transient Faults and Its Implementation.
IEEE Trans. Software Eng., 2003
Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multiprocessor Real-Time Systems.
IEEE Trans. Parallel Distributed Syst., 2003
IEEE Trans. Parallel Distributed Syst., 2003
ACM Trans. Embed. Comput. Syst., 2003
IEEE Trans. Computers, 2003
IEEE Trans. Computers, 2003
IBM J. Res. Dev., 2003
Proceedings of the 24th IEEE Real-Time Systems Symposium (RTSS 2003), 2003
Collaborative Operating System and Compiler Power Management for Real-Time Applications.
Proceedings of the 9th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2003), 2003
Proceedings of the 2003 Conference on Languages, 2003
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
A Simulation Study of the Proactive Server Roaming for Mitigating Denial of Service Attacks.
Proceedings of the Proceedings 36th Annual Simulation Symposium (ANSS-36 2003), Orlando, Florida, USA, March 30, 2003
2002
IEEE/ACM Trans. Netw., 2002
Low-cost, delay-bounded point-to-multipoint communication to support multicasting over WDM networks.
Comput. Networks, 2002
Proceedings of the 23rd IEEE Real-Time Systems Symposium (RTSS'02), 2002
Proceedings of the 31st International Conference on Parallel Processing (ICPP 2002), 2002
Proceedings of the Proceedings 35th Annual Simulation Symposium (ANSS-35 2002), 2002
2001
IEEE Trans. Computers, 2001
Photonic Netw. Commun., 2001
Performance of Multi-hop Communications Using Logical Topologies on Optical Torus Networks.
J. Parallel Distributed Comput., 2001
Comput. Commun., 2001
Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multi-Processor Real-Time Systems.
Proceedings of the 22nd IEEE Real-Time Systems Symposium (RTSS 2001), 2001
Proceedings of the 22nd IEEE Real-Time Systems Symposium (RTSS 2001), 2001
Proceedings of the Networking, 2001
Determining Optimal Processor Speeds for Periodic Real-Time Tasks with Different Power Characteristics.
Proceedings of the 13th Euromicro Conference on Real-Time Systems (ECRTS 2001), 2001
2000
Tolerance to Multiple Transient Faults for Aperiodic Tasks in Hard Real-Time Systems.
IEEE Trans. Computers, 2000
Proceedings of the 21st IEEE Real-Time Systems Symposium (RTSS 2000), 2000
Proceedings of the 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 2000
Proceedings of the 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 2000
Optimal scheduling of imprecise computation tasks in the presence of multiple faults.
Proceedings of the 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 2000
Scheduling algorithms for dynamic message streams with distance constraints in TDMA protocol.
Proceedings of the 12th Euromicro Conference on Real-Time Systems (ECRTS 2000), 2000
Proceedings of the 12th Euromicro Conference on Real-Time Systems (ECRTS 2000), 2000
1999
Distributed Path Reservation Algorithms for Multiplexed All-Optical Interconnection Networks.
IEEE Trans. Computers, 1999
Softw. Pract. Exp., 1999
Distributed Control Protocols for Wavelength Reservation and their Performance Evaluation.
Photonic Netw. Commun., 1999
J. Parallel Distributed Comput., 1999
Proceedings of the 6th International Workshop on Real-Time Computing and Applications Symposium (RTCSA '99), 1999
Implementation of a Transient-Fault-Tolerance Scheme on DEOS - A Technology Transfer from an Academic System to an Industrial System.
Proceedings of the Fifth IEEE Real-Time Technology and Applications Symposium, 1999
Proceedings of the 13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing (IPPS / SPDP '99), 1999
Proceedings of the International Conference on Parallel Processing 1999, 1999
Proceedings of the 19th International Conference on Distributed Computing Systems, Austin, TX, USA, May 31, 1999
Proceedings of the 11th Euromicro Conference on Real-Time Systems (ECRTS 1999), 1999
1998
Realizing Common Communication Patterns in Partitioned Optical Passive Stars (POPS) Networks.
IEEE Trans. Computers, 1998
Proceedings of the Fourth IEEE Real-Time Technology and Applications Symposium, 1998
Proceedings of the 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30, 1998
Proceedings of the 12th International Parallel Processing Symposium / 9th Symposium on Parallel and Distributed Processing (IPPS/SPDP '98), March 30, 1998
Performance of Multihop Communications Using Logical Topologies on Optical Torus Networks.
Proceedings of the International Conference On Computer Communications and Networks (ICCCN 1998), 1998
Comparison of global and partitioning schemes for scheduling rate monotonic tasks on a multiprocessor.
Proceedings of the 10th Euromicro Conference on Real-Time Systems (ECRTS 1998), 1998
1997
Reducing Communication Latency with Path Multiplexing in Optically Interconnected Multiprocessor Systems.
IEEE Trans. Parallel Distributed Syst., 1997
Fault-Tolerance Through Scheduling of Aperiodic Tasks in Hard Real-Time Multiprocessor Systems.
IEEE Trans. Parallel Distributed Syst., 1997
Parallel Process. Lett., 1997
A Load Balancing Package on Distributed Memory Systems and its Application to Particle-Particle Particle-Mesh (P3M) Methods.
Parallel Comput., 1997
Microprocess. Microsystems, 1997
Does Time-Division Multiplexing Close the Gap between Memory and Optical Communication Speeds?
Proceedings of the Parallel Computer Routing and Communication, 1997
Proceedings of the Languages and Compilers for Parallel Computing, 1997
Proceedings of the 11th International Parallel Processing Symposium (IPPS '97), 1997
1996
Loop Transformations for Fault Detection in Regular Loops on Massively Parallel Systems.
IEEE Trans. Parallel Distributed Syst., 1996
Proceedings of the 1996 ACM/IEEE Conference on Supercomputing, 1996
Proceedings of the 1996 International Conference on Parallel Processing, 1996
Proceedings of the High-Performance Computing and Networking, 1996
1995
IEEE Trans. Parallel Distributed Syst., 1995
IEEE Trans. Parallel Distributed Syst., 1995
J. Parallel Distributed Comput., 1995
Proceedings of the 16th IEEE Real-Time Systems Symposium, 1995
1994
IEEE Trans. Parallel Distributed Syst., 1994
IEEE Trans. Parallel Distributed Syst., 1994
A Uniform Framework for Dynamic Load Balancing Strategies in Distributed Processing Systems.
J. Parallel Distributed Comput., 1994
Dynamic Reconfiguration of Optically Interconnected Networks with Time-Division Multiplexing .
J. Parallel Distributed Comput., 1994
Proceedings of the 8th International Symposium on Parallel Processing, 1994
Proceedings of the Digest of Papers: FTCS/24, 1994
Reconfiguration in 3D Meshes.
Proceedings of the IEEE International Workshop on Defect and Fault Tolerance in VLSI Systems, 1994
1993
IEEE Trans. Computers, 1993
J. Parallel Distributed Comput., 1993
Proceedings of the 1993 International Conference on Parallel Processing, 1993
1992
A Distributed Algorithm for Embedding Trees in Hypercubes with Modifications for Run-Time Fault Tolerance.
J. Parallel Distributed Comput., 1992
1991
An Efficient Modular Spare Allocation Scheme and Its Application to Fault Tolerant Binary Hypercubes.
IEEE Trans. Parallel Distributed Syst., 1991
J. Parallel Distributed Comput., 1991
Multicasting in Optical Bus Connected Processors Using Coincident Pulse Techniques.
Proceedings of the International Conference on Parallel Processing, 1991
Reconfiguration of Computational Arrays with Multiple Redundancy.
Proceedings of the International Conference on Parallel Processing, 1991
Channel Multiplexing in Modular Fault Tolerant Multiprocessors.
Proceedings of the International Conference on Parallel Processing, 1991
Meshes with flexible redundancy.
Proceedings of the Algorithms and Parallel VLSI Architectures II, 1991
Proceedings of the Application Specific Array Processors, 1991
1990
IEEE Trans. Computers, 1990
J. Parallel Distributed Comput., 1990
Proceedings of the Application Specific Array Processors, 1990
1989
IEEE Trans. Computers, 1989
Comput. J., 1989
BIT, 1989
Bi-level reconfigurations of fault tolerant arrays in bi-modal computational environments.
Proceedings of the Nineteenth International Symposium on Fault-Tolerant Computing, 1989
A software tool for the automatic generation of memory traces for shared memory multiprocessor systems.
Proceedings of the Proceedings 22nd Annual Simulation Symposium (ANSS-22 1989), 1989
1988
ACM Trans. Math. Softw., 1988
Parallel Comput., 1988
1987
A Study of Data Interlock in Computational Networks for Sparse Matrix Multiplication.
IEEE Trans. Computers, 1987
Parallel Comput., 1987
Iterative Solution of Sparse Linear Systems on Systolic Arrays.
Proceedings of the International Conference on Parallel Processing, 1987
1986
Application of Data Driven Networks to Sparse Matrix Multiplication.
Proceedings of the International Conference on Parallel Processing, 1986
Synthesizing Non-Uniform Systolic Designs.
Proceedings of the International Conference on Parallel Processing, 1986
1985
J. Comput. Syst. Sci., 1985
Proceedings of the 12th Annual Symposium on Computer Architecture, 1985
1984
SIAM J. Comput., 1984
1982
Computing, 1982