Rajesh K. Gupta
Orcid: 0000-0002-6489-7633Affiliations:
- University of California San Diego, La Jolla, CA, USA
- Stanford University, CA, USA (PhD 1994)
According to our database1,
Rajesh K. Gupta
authored at least 341 papers
between 1990 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on zbmath.org
-
on orcid.org
-
on id.loc.gov
-
on d-nb.info
-
on cse.ucsd.edu
-
on dl.acm.org
On csauthors.net:
Bibliography
2024
Ventilation and Temperature Control for Energy-efficient and Healthy Buildings: A Differentiable PDE Approach.
CoRR, 2024
Downstream Task Guided Masking Learning in Masked Autoencoders Using Multi-Level Optimization.
CoRR, 2024
How Few Davids Improve One Goliath: Federated Learning in Resource-Skewed Edge Computing Environments.
Proceedings of the ACM on Web Conference 2024, 2024
Proceedings of the Thirty-Third International Joint Conference on Artificial Intelligence, 2024
Proceedings of the 15th ACM/IEEE International Conference on Cyber-Physical Systems, 2024
Proceedings of the 15th ACM/IEEE International Conference on Cyber-Physical Systems, 2024
Adaptive Policy Regularization for Offline-to-Online Reinforcement Learning in HVAC Control.
Proceedings of the 11th ACM International Conference on Systems for Energy-Efficient Buildings, 2024
2023
Proceedings of the 10th ACM International Conference on Systems for Energy-Efficient Buildings, 2023
Proceedings of the 10th ACM International Conference on Systems for Energy-Efficient Buildings, 2023
Proceedings of the 21st ACM Conference on Embedded Networked Sensor Systems, 2023
Navigating Alignment for Non-identical Client Class Sets: A Label Name-Anchored Federated Learning Framework.
Proceedings of the 29th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, 2023
Minimally Supervised Contextual Inference from Human Mobility: An Iterative Collaborative Distillation Framework.
Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, 2023
Proceedings of the IEEE International Conference on Acoustics, 2023
Proceedings of the 14th ACM International Conference on Future Energy Systems, 2023
Proceedings of the 32nd ACM International Conference on Information and Knowledge Management, 2023
Proceedings of the Thirty-Seventh AAAI Conference on Artificial Intelligence, 2023
2022
Proceedings of the WSDM '22: The Fifteenth ACM International Conference on Web Search and Data Mining, Virtual Event / Tempe, AZ, USA, February 21, 2022
Proceedings of the 9th ACM International Conference on Systems for Energy-Efficient Buildings, 2022
SQEE: A Machine Perception Approach to Sensing Quality Evaluation at the Edge by Uncertainty Quantification.
Proceedings of the 20th ACM Conference on Embedded Networked Sensor Systems, 2022
Proceedings of the KDD '22: The 28th ACM SIGKDD Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14, 2022
Proceedings of the 13th ACM/IEEE International Conference on Cyber-Physical Systems, 2022
2021
UniTS: Short-Time Fourier Inspired Neural Networks for Sensory Time Series Classification.
Proceedings of the SenSys '21: The 19th ACM Conference on Embedded Networked Sensor Systems, Coimbra, Portugal, November 15, 2021
Marble: collaborative scheduling of batteryless sensors with meta reinforcement learning.
Proceedings of the BuildSys '21: The 8th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation, Coimbra, Portugal, November 17, 2021
Marble: collaborative scheduling of batteryless sensors with meta reinforcement learning.
Proceedings of the BuildSys '21: The 8th ACM International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation, Coimbra, Portugal, November 17, 2021
Proceedings of the 24th International Conference on Artificial Intelligence and Statistics, 2021
Proceedings of the 55th Asilomar Conference on Signals, Systems, and Computers, 2021
Proceedings of the Findings of the Association for Computational Linguistics: ACL/IJCNLP 2021, 2021
2020
ACES: Automatic Configuration of Energy Harvesting Sensors with Reinforcement Learning.
ACM Trans. Sens. Networks, 2020
IEEE Des. Test, 2020
Proceedings of the IEEE Winter Conference on Applications of Computer Vision, 2020
Proceedings of the BuildSys '20: The 7th ACM International Conference on Systems for Energy-Efficient Buildings, 2020
Ember: energy management of batteryless event detection sensors with deep reinforcement learning.
Proceedings of the SenSys '20: The 18th ACM Conference on Embedded Networked Sensor Systems, 2020
Ember - energy management of batteryless event detection sensors with deep reinforcement learning: demo abstract.
Proceedings of the SenSys '20: The 18th ACM Conference on Embedded Networked Sensor Systems, 2020
Proceedings of the PervasiveHealth '20: 14th EAI International Conference on Pervasive Computing Technologies for Healthcare, 2020
Proceedings of the Findings of the Association for Computational Linguistics: EMNLP 2020, 2020
2019
CoRR, 2019
IEEE Access, 2019
Proceedings of the 6th ACM International Conference on Systems for Energy-Efficient Buildings, 2019
Who can Access What, and When?: Understanding Minimal Access Requirements of Building Applications.
Proceedings of the 6th ACM International Conference on Systems for Energy-Efficient Buildings, 2019
Proceedings of the 6th ACM International Conference on Systems for Energy-Efficient Buildings, 2019
Serving deep neural networks at the cloud edge for vision applications on mobile platforms.
Proceedings of the 10th ACM Multimedia Systems Conference, 2019
Proceedings of the 20th ACM SIGPLAN/SIGBED International Conference on Languages, 2019
Proceedings of the 20th International Conference on Distributed Computing and Networking, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2019
Proceedings of the 56th Annual Design Automation Conference 2019, 2019
2018
ACM Trans. Cyber Phys. Syst., 2018
CLIM: A Cross-Level Workload-Aware Timing Error Prediction Model for Functional Units.
IEEE Trans. Computers, 2018
The Celerity Open-Source 511-Core RISC-V Tiered Accelerator Fabric: Fast Architectures and Design Methodologies for Fast Chips.
IEEE Micro, 2018
Plaster: an integration, benchmark, and development framework for metadata normalization methods.
Proceedings of the 5th Conference on Systems for Built Environments, 2018
Scrabble: transferrable semi-automated semantic metadata normalization using intermediate representation.
Proceedings of the 5th Conference on Systems for Built Environments, 2018
Proceedings of the 6th International Workshop on Energy Harvesting & Energy-Neutral Sensing Systems, 2018
Proceedings of the 5th Conference on Systems for Built Environments, 2018
Proceedings of the 5th Conference on Systems for Built Environments, 2018
Proceedings of the 21st IEEE International Symposium on Real-Time Distributed Computing, 2018
SnaPEA: Predictive Early Activation for Reducing Computation in Deep Convolutional Neural Networks.
Proceedings of the 45th ACM/IEEE Annual International Symposium on Computer Architecture, 2018
Proceedings of the IEEE International Symposium on High Performance Computer Architecture, 2018
Proceedings of the International Conference on Embedded Software, 2018
Proceedings of the 2018 Design, Automation & Test in Europe Conference & Exhibition, 2018
LEMAX: learning-based energy consumption minimization in approximate computing with quality guarantee.
Proceedings of the 55th Annual Design Automation Conference, 2018
Proceedings of the Cloud Computing - CLOUD 2018, 2018
Proceedings of the 52nd Asilomar Conference on Signals, Systems, and Computers, 2018
2017
Go-realtime: a lightweight framework for multiprocessor real-time system in user space.
SIGBED Rev., 2017
Proceedings of the 4th ACM International Conference on Systems for Energy-Efficient Built Environments, 2017
Proceedings of the 15th ACM Conference on Embedded Network Sensor Systems, 2017
Proceedings of the 2017 IEEE International Conference on Computer Design, 2017
An assessment of vulnerability of hardware neural networks to dynamic voltage and temperature variations.
Proceedings of the 2017 IEEE/ACM International Conference on Computer-Aided Design, 2017
Accelerating Binarized Convolutional Neural Networks with Software-Programmable FPGAs.
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
RxRE: Throughput Optimization for High-Level Synthesis using Resource-Aware Regularity Extraction (Abstract Only).
Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 2017
SLoT: A supervised learning model to predict dynamic timing errors of functional units.
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2017
Compiler Techniques to Reduce the Synchronization Overhead of GPU Redundant Multithreading.
Proceedings of the 54th Annual Design Automation Conference, 2017
Binarized Convolutional Neural Networks with Separable Filters for Efficient Hardware Acceleration.
Proceedings of the 2017 IEEE Conference on Computer Vision and Pattern Recognition Workshops, 2017
Proceedings of the 2017 Symposium on Cloud Computing, SoCC 2017, Santa Clara, CA, USA, 2017
Proceedings of the 2017 ACM on Conference on Information and Knowledge Management, 2017
A realtime, open-source speech-processing platform for research in hearing loss compensation.
Proceedings of the 51st Asilomar Conference on Signals, Systems, and Computers, 2017
Proceedings of the 2017 IEEE 10th International Conference on Cloud Computing (CLOUD), 2017
2016
Variability Mitigation in Nanometer CMOS Integrated Systems: A Survey of Techniques From Circuits to Software.
Proc. IEEE, 2016
IEEE J. Emerg. Sel. Topics Circuits Syst., 2016
IEEE Des. Test, 2016
Quiver: Using Control Perturbations to Increase the Observability of Sensor Data in Smart Buildings.
CoRR, 2016
Proceedings of the 3rd ACM International Conference on Systems for Energy-Efficient Built Environments, 2016
Proceedings of the 3rd ACM International Conference on Systems for Energy-Efficient Built Environments, 2016
Proceedings of the Second International Symposium on Memory Systems, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Proceedings of the 34th IEEE International Conference on Computer Design, 2016
Grater: An approximation workflow for exploiting data-level parallelism in FPGA acceleration.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
Resistive Bloom filters: From approximate membership to approximate computing with bounded errors.
Proceedings of the 2016 Design, Automation & Test in Europe Conference & Exhibition, 2016
2015
it Inf. Technol., 2015
CoRR, 2015
Proceedings of the 2nd ACM International Conference on Embedded Systems for Energy-Efficient Built Environments, 2015
Proceedings of the IEEE 13th International New Circuits and Systems Conference, 2015
Proceedings of the 2015 ACM International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2015 ACM International Symposium on Wearable Computers, 2015
Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, 2015
Task scheduling strategies to mitigate hardware variability in embedded shared memory clusters.
Proceedings of the 52nd Annual Design Automation Conference, 2015
Models, abstractions, and architectures: the missing links in cyber-physical systems.
Proceedings of the 52nd Annual Design Automation Conference, 2015
2014
IEEE Trans. Computers, 2014
Improving Resilience to Timing Errors by Exposing Variability Effects to Software in Tightly-Coupled Processor Clusters.
IEEE J. Emerg. Sel. Topics Circuits Syst., 2014
Data driven investigation of faults in HVAC systems with model, cluster and compare (MCC).
Proceedings of the 1st ACM Conference on Embedded Systems for Energy-Efficient Buildings, 2014
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2014
Energy-Efficient GPGPU Architectures via Collaborative Compilation and Memristive Memory-Based Computing.
Proceedings of the 51st Annual Design Automation Conference 2014, 2014
Proceedings of the 2014 International Conference on Hardware/Software Codesign and System Synthesis, 2014
Proceedings of the 2014 IEEE 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27, 2014
2013
Spatial Memoization: Concurrent Instruction Reuse to Correct Timing Errors in SIMD Architectures.
IEEE Trans. Circuits Syst. II Express Briefs, 2013
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2013
Proceedings of the ACM SIGOPS 24th Symposium on Operating Systems Principles, 2013
Sentinel: occupancy based HVAC actuation using existing WiFi infrastructure within commercial buildings.
Proceedings of the 11th ACM Conference on Embedded Network Sensor Systems, 2013
Proceedings of the BuildSys 2013, 2013
Energy-optimized dynamic deferral of workload for capacity provisioning in data centers.
Proceedings of the International Green Computing Conference, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
Proceedings of the Design, Automation and Test in Europe, 2013
Hierarchically focused guardbanding: an adaptive approach to mitigate PVT variations and aging.
Proceedings of the Design, Automation and Test in Europe, 2013
Utility-aware deferred load balancing in the cloud driven by dynamic pricing of electricity.
Proceedings of the Design, Automation and Test in Europe, 2013
Proceedings of the 50th Annual Design Automation Conference 2013, 2013
A variability-aware OpenMP environment for efficient execution of accuracy-configurable computation on shared-FPU processor clusters.
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis, 2013
Proceedings of the 2013 IEEE Sixth International Conference on Cloud Computing, Santa Clara, CA, USA, June 28, 2013
2012
J. Parallel Distributed Comput., 2012
Keynote Talk: The Variability Expeditions: Exploring the Software Stack for Underdesigned Computing Machines.
Proceedings of the 25th International Conference on VLSI Design, 2012
Proceedings of the 25th International Conference on VLSI Design, 2012
BuildingDepot: an extensible and distributed architecture for building data storage, access and sharing.
Proceedings of the BuildSys '12 Proceedings of the Fourth ACM Workshop on Embedded Sensing Systems for Energy-Efficiency in Buildings, 2012
Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation, 2012
Accurate Characterization of the Variability in Power Consumption in Modern Mobile Processors.
Proceedings of the 2012 Workshop on Power-Aware Computing Systems, HotPower'12, 2012
Procedure hopping: a low overhead solution to mitigate variability in shared-L1 processor clusters.
Proceedings of the International Symposium on Low Power Electronics and Design, 2012
Analysis of instruction-level vulnerability to dynamic voltage and temperature variations.
Proceedings of the 2012 Design, Automation & Test in Europe Conference & Exhibition, 2012
Proceedings of the 2012 IEEE Fifth International Conference on Cloud Computing, 2012
2011
Integrating Embedded Computing Systems Into High School and Early Undergraduate Education.
IEEE Trans. Educ., 2011
Computational Mass Spectrometry in a Reconfigurable Coherent Coprocessing Architecture.
IEEE Des. Test Comput., 2011
Proceedings of the BuildSys 2011, 2011
Proceedings of the 10th International Conference on Information Processing in Sensor Networks, 2011
Proceedings of the INFOCOM 2011. 30th IEEE International Conference on Computer Communications, 2011
Programming Support for Distributed Optimization and Control in Cyber-Physical Systems.
Proceedings of the 2011 IEEE/ACM International Conference on Cyber-Physical Systems, 2011
Proceedings of the 3rd USENIX Workshop on Hot Topics in Storage and File Systems, 2011
Proceedings of the Wireless Sensor Networks - 8th European Conference, 2011
Proceedings of the Design, Automation and Test in Europe, 2011
Proceedings of the 20th IEEE Asian Test Symposium, 2011
NV-Heaps: making persistent objects fast and safe with next-generation, non-volatile memories.
Proceedings of the 16th International Conference on Architectural Support for Programming Languages and Operating Systems, 2011
High-Level Verification - Methods and Tools for Verification of System-Level Designs.
Springer, ISBN: 978-1-4419-9358-8, 2011
2010
ACM Trans. Sens. Networks, 2010
IEEE Trans. Mob. Comput., 2010
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2010
SleepServer: A Software-Only Approach for Reducing the Energy Consumption of PCs within Enterprise Environments.
Proceedings of the 2010 USENIX Annual Technical Conference, 2010
Proceedings of the BuildSys'10, 2010
Understanding the Impact of Emerging Non-Volatile Memories on High-Performance, IO-Intensive Computing.
Proceedings of the Conference on High Performance Computing Networking, 2010
Moneta: A High-Performance Storage Array Architecture for Next-Generation, Non-volatile Memories.
Proceedings of the 43rd Annual IEEE/ACM International Symposium on Microarchitecture, 2010
2009
A gateway node with duty-cycled radio and processing subsystems for wireless sensor networks.
ACM Trans. Design Autom. Electr. Syst., 2009
IEEE Trans. Circuits Syst. I Regul. Pap., 2009
IEICE Electron. Express, 2009
Proceedings of the 6th USENIX Symposium on Networked Systems Design and Implementation, 2009
Proceedings of the 6th USENIX Symposium on Networked Systems Design and Implementation, 2009
Proceedings of the 8th International Conference on Information Processing in Sensor Networks, 2009
Proceedings of the INFOCOM 2009. 28th IEEE International Conference on Computer Communications, 2009
LazySync: A New Synchronization Scheme for Distributed Simulation of Sensor Networks.
Proceedings of the Distributed Computing in Sensor Systems, 2009
2008
SwitchR: Reducing system power consumption in a multi-client, multi-radio environment.
Proceedings of the 12th IEEE International Symposium on Wearable Computers (ISWC 2008), September 28, 2008
Proceedings of the Distributed Computing in Sensor Systems, 2008
Proceedings of the Distributed Computing in Sensor Systems, 2008
Temperature Control of High-Performance Multi-core Platforms Using Convex Optimization.
Proceedings of the Design, Automation and Test in Europe, 2008
Proceedings of the 45th Design Automation Conference, 2008
Proceedings of the Computer Aided Verification, 20th International Conference, 2008
2007
An Embedded Platform with Duty-Cycled Radio and Processing Subsystems for Wireless Sensor Networks.
Proceedings of the Embedded Computer Systems: Architectures, 2007
Proceedings of the 5th International Conference on Mobile Systems, 2007
Proceedings of the 2007 International Conference on Computer-Aided Design, 2007
Proceedings of the Hardware and Software: Verification and Testing, 2007
CATS: cycle accurate transaction-driven simulation with multiple processor simulators.
Proceedings of the 2007 Design, Automation and Test in Europe Conference and Exposition, 2007
Temperature-aware processor frequency assignment for MPSoCs using convex optimization.
Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, 2007
2006
IEEE Trans. Very Large Scale Integr. Syst., 2006
Energy-aware task scheduling with task synchronization for embedded real-time systems.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2006
<i>CoolSpots</i>: reducing the power consumption of wireless mobile devices with multiple radio interfaces.
Proceedings of the 4th International Conference on Mobile Systems, 2006
Proceedings of the 4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 2006
Proceedings of the 4th ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2006), 2006
Proceedings of the Conference on Design, Automation and Test in Europe, 2006
Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, 2006
Declarative Resource Naming for Macroprogramming Wireless Networks of Embedded Systems.
Proceedings of the Algorithmic Aspects of Wireless Sensor Networks, 2006
2005
Proceedings of the Embedded Systems Handbook., 2005
IEEE Trans. Very Large Scale Integr. Syst., 2005
An overview of the competitive and adversarial approaches to designing dynamic power management strategies.
IEEE Trans. Very Large Scale Integr. Syst., 2005
Line Size Adaptivity Analysis of Parameterized Loop Nests for Direct Mapped Data Cache.
IEEE Trans. Computers, 2005
A Compositional Behavioral Modeling Framework for Embedded System Design and Conformance Checking.
Int. J. Parallel Program., 2005
Formal Aspects Comput., 2005
Proceedings of the Second Workshop on Globally Asynchronous, Locally Synchronous Design, 2005
IEEE Des. Test Comput., 2005
IEEE Des. Test Comput., 2005
Architectural, System Level and Protocol Level Techniques for Power Optimization for Networked Embedded Systems.
Proceedings of the 18th International Conference on VLSI Design (VLSI Design 2005), 2005
Proceedings of the 3rd ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2005), 2005
A Cross-Layer Approach for Power-Performance Optimization in Distributed Mobile Systems.
Proceedings of the 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), 2005
Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005
Proceedings of the 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 2005
Dynamic slack reclamation with procrastination scheduling in real-time embedded systems.
Proceedings of the 42nd Design Automation Conference, 2005
Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, 2005
Proceedings of the 2005 Conference on Asia South Pacific Design Automation, 2005
An Introductory Survey of Networked Embedded Systems.
Proceedings of the Industrial Information Technology Handbook, 2005
2004
ACM Trans. Design Autom. Electr. Syst., 2004
ACM Trans. Embed. Comput. Syst., 2004
Using global code motions to improve the quality of results for high-level synthesis.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2004
Fundam. Informaticae, 2004
IEEE Des. Test Comput., 2004
IEEE Des. Test Comput., 2004
Proceedings of the 2004 ACM SIGPLAN/SIGBED Conference on Languages, 2004
Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems.
Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004
Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable Architectures.
Proceedings of the Field Programmable Logic and Application, 2004
Loop Shifting and Compaction for the High-Level Synthesis of Designs with Complex Control Flow.
Proceedings of the 2004 Design, 2004
Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable Architectures.
Proceedings of the 2004 Design, 2004
Proceedings of the 2004 Design, 2004
Proxy-based task partitioning of watermarking algorithms for reducing energy consumption in mobile devices.
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the 41th Design Automation Conference, 2004
Proceedings of the Advances in Computer Science, 2004
Proceedings of the 4th International Conference on Application of Concurrency to System Design (ACSD 2004), 2004
Proceedings of the Ultra Low-Power Electronics and Design, 2004
2003
Online strategies for dynamic power management in systems with multiple power-saving states.
ACM Trans. Embed. Comput. Syst., 2003
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2003
IEEE Des. Test Comput., 2003
IEEE Des. Test Comput., 2003
IEEE Des. Test Comput., 2003
From the Editor in Chief: A "Powerful" Issue!
IEEE Des. Test Comput., 2003
From the Editor in Chief: Full Circle?
IEEE Des. Test Comput., 2003
From the Editor in Chief: Twenty years!
IEEE Des. Test Comput., 2003
High Level Modeling and Validation Methodologies for Embedded Systems: Bridging the Productivity Gap.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
SPARK: A High-Lev l Synthesis Framework For Applying Parallelizing Compiler Transformations.
Proceedings of the 16th International Conference on VLSI Design (VLSI Design 2003), 2003
Should the space of implementation possibilities be determined by the abilities of high-level synthesis and validation?
Proceedings of the 1st ACM & IEEE International Conference on Formal Methods and Models for Co-Design (MEMOCODE 2003), 2003
Proceedings of the 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 2003
Proceedings of the 21st International Conference on Computer Design (ICCD 2003), 2003
Proceedings of the 2003 International Conference on Computer-Aided Design, 2003
Dynamic Conditional Branch Balancing during the High-Level Synthesis of Control-Intensive Designs.
Proceedings of the 2003 Design, 2003
Proceedings of the 2003 Design, 2003
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 40th Design Automation Conference, 2003
Proceedings of the 2003 Asia and South Pacific Design Automation Conference, 2003
Proceedings of the 3rd International Conference on Application of Concurrency to System Design (ACSD 2003), 2003
2002
J. VLSI Signal Process., 2002
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2002
EIC Message: The Neglected Community.
IEEE Des. Test Comput., 2002
Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), 2002
Concurrency in System Level Design: Conflict Between Simulation and Synthesis Goals.
Proceedings of the 11th IEEE/ACM International Workshop on Logic & Synthesis, 2002
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002
Proceedings of the 15th International Symposium on System Synthesis (ISSS 2002), 2002
Integrated I-cache Way Predictor and Branch Target Buffer to Reduce Energy Consumption.
Proceedings of the High Performance Computing, 4th International Symposium, 2002
Formal analysis and validation of continuous-time Markov chain based system level power management strategies.
Proceedings of the Seventh IEEE International High-Level Design Validation and Test Workshop 2002, 2002
Proceedings of the Seventh IEEE International High-Level Design Validation and Test Workshop 2002, 2002
Proceedings of the High Performance Computing, 2002
Proceedings of the 2002 Design, 2002
Automated Concurrency Re-Assignment in High Level System Models for Efficient System-Level Simulation.
Proceedings of the 2002 Design, 2002
Competitive Analysis of Dynamic Power Management Strategies for Systems with Multiple Power Savings States.
Proceedings of the 2002 Design, 2002
Proceedings of the 2002 Design, 2002
Proceedings of the 2002 Design, 2002
Coordinated transformations for high-level synthesis of high performance microprocessor blocks.
Proceedings of the 39th Design Automation Conference, 2002
2001
IEEE Trans. Very Large Scale Integr. Syst., 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Conditional speculation and its effects on performance and area for high-level snthesis.
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Proceedings of the 14th International Symposium on Systems Synthesis, 2001
Design of a Predictive Filter Cache for Energy Savings in High Performance Processor Architectures.
Proceedings of the 19th International Conference on Computer Design (ICCD 2001), 2001
A model checking approach to evaluating system level dynamic power management policies for embedded systems.
Proceedings of the Sixth IEEE International High-Level Design Validation and Test Workshop 2001, 2001
Proceedings of the 38th Design Automation Conference, 2001
Proceedings of the 38th Design Automation Conference, 2001
2000
IEEE Trans. Very Large Scale Integr. Syst., 2000
Proceedings of the 13th International Symposium on System Synthesis, 2000
Proceedings of the High Performance Computing, Third International Symposium, 2000
Proceedings of the Intelligent Memory Systems, Second International Workshop, 2000
Proceedings of the IEEE International Conference On Computer Design: VLSI In Computers & Processors, 2000
Proceedings of the 2000 IEEE/ACM International Conference on Computer-Aided Design, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 2000 Design, 2000
Proceedings of the 2000 International Conference on Compilers, 2000
Proceedings of ASP-DAC 2000, 2000
1999
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1999
Proceedings of the 13th international conference on Supercomputing, 1999
Proceedings of the 36th Conference on Design Automation, 1999
Timing-driven HW/SW codesign based on task structuring and process timing simulation.
Proceedings of the Seventh International Workshop on Hardware/Software Codesign, 1999
1998
ACM Trans. Design Autom. Electr. Syst., 1998
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1998
A Case Analysis of System Partitioning and Its Relationship To High-Level Synthesis Tasks.
Proceedings of the 11th International Conference on VLSI Design (VLSI Design 1991), 1998
Proceedings of the 1998 IEEE/ACM International Conference on Computer-Aided Design, 1998
Proceedings of the 1998 Design, 1998
Proceedings of the 35th Conference on Design Automation, 1998
Proceedings of the Sixth International Workshop on Hardware/Software Codesign, 1998
1997
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 1997
J. Syst. Archit., 1997
Design and Test of Core-Based Systems on Chips.
IEEE Des. Test Comput., 1997
Des. Autom. Embed. Syst., 1997
Proceedings of the 1997 IEEE International Conference on Microelectronic Systems Education, 1997
Proceedings of the Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Proceedings of the 1997 IEEE/ACM International Conference on Computer-Aided Design, 1997
Proceedings of the European Design and Test Conference, 1997
An Efficient Implementation of Reactivity for Modeling Hardware in the Scenic Design Environment.
Proceedings of the 34st Conference on Design Automation, 1997
Proceedings of the 34st Conference on Design Automation, 1997
Proceedings of the 34st Conference on Design Automation, 1997
Proceedings of the ASP-DAC '97 Asia and South Pacific Design Automation Conference, 1997
1996
Des. Autom. Embed. Syst., 1996
Proceedings of the 9th International Conference on VLSI Design (VLSI Design 1996), 1996
Proceedings of the 1996 International Conference on Computer Design (ICCD '96), 1996
Proceedings of the 1996 IEEE/ACM International Conference on Computer-Aided Design, 1996
Proceedings of the 1996 European Design and Test Conference, 1996
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the 33st Conference on Design Automation, 1996
Proceedings of the Forth International Workshop on Hardware/Software Codesign, 1996
1994
Proceedings of the Third International Workshop on Hardware/Software Codesign, 1994
1993
1992
Synthesis and Simulation of Digital Systems Containing Interacting Hardware and Software Components.
Proceedings of the 29th Design Automation Conference, 1992
1990
Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, 1990