Qiang Liu
Orcid: 0000-0003-1375-0508Affiliations:
- Tianjin University, School of Microelectronics, China
- Imperial College London, Department of Electrical and Electronic Engineering, UK (PhD 2008)
According to our database1,
Qiang Liu
authored at least 89 papers
between 2006 and 2024.
Collaborative distances:
Collaborative distances:
Timeline
Legend:
Book In proceedings Article PhD thesis Dataset OtherLinks
Online presence:
-
on orcid.org
On csauthors.net:
Bibliography
2024
IEEE Trans. Parallel Distributed Syst., December, 2024
IEEE Trans. Circuits Syst. II Express Briefs, November, 2024
Modular-Based Compression Scheme for Address Data in the Blockchain System for IoV Applications.
IEEE Trans. Veh. Technol., October, 2024
IEEE Trans. Computers, August, 2024
An Efficient FPGA-based Depthwise Separable Convolutional Neural Network Accelerator with Hardware Pruning.
ACM Trans. Reconfigurable Technol. Syst., March, 2024
Verkle-Accumulator-Based Stateless Transaction Validation (VA-STV) Scheme for the Blockchain-Based IoT Network.
IEEE Internet Things J., January, 2024
CoRR, 2024
Proceedings of the IEEE International Test Conference in Asia, 2024
Proceedings of the IEEE International Symposium on Circuits and Systems, 2024
ORIANNA: An Accelerator Generation Framework for Optimization-based Robotic Applications.
Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, 2024
Proceedings of the 35th IEEE International Conference on Application-specific Systems, 2024
2023
Guest Editorial Special Issue on the Asian Hardware Oriented Security and Trust Symposium (AsianHOST 2022).
IEEE Trans. Circuits Syst. I Regul. Pap., December, 2023
Efficient Protection of FPGA Implemented LDPC Decoders Against Single Event Upsets (SEUs) on Configuration Memories.
IEEE Trans. Circuits Syst. I Regul. Pap., September, 2023
IEEE Trans. Computers, July, 2023
A Methodology for the Design of Fault Tolerant Parallel Digital Channelizers on SRAM-FPGAs.
IEEE Trans. Circuits Syst. I Regul. Pap., May, 2023
ACM Trans. Reconfigurable Technol. Syst., March, 2023
Reliability Evaluation and Fault Tolerance Design for FPGA Implemented Reed Solomon (RS) Erasure Decoders.
IEEE Trans. Very Large Scale Integr. Syst., 2023
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
Proceedings of the IEEE International Symposium on Circuits and Systems, 2023
Proceedings of the Design, Automation & Test in Europe Conference & Exhibition, 2023
Proceedings of the 60th ACM/IEEE Design Automation Conference, 2023
2022
ACM Trans. Design Autom. Electr. Syst., 2022
IEEE Trans. Ind. Informatics, 2022
MPFA: An Efficient Multiple Faults-Based Persistent Fault Analysis Method for Low-Cost FIA.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2022
In-depth Analysis of the Effects of Electromagnetic Fault Injection Attack on a 32-bit MCU.
Proceedings of the 35th IEEE International System-on-Chip Conference, 2022
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design, 2022
Proceedings of the International Conference on Field-Programmable Technology, 2022
DQI: A Dynamic Quantization Method for Efficient Convolutional Neural Network Inference Accelerators.
Proceedings of the 30th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2022
An Energy-Efficient and Runtime-Reconfigurable FPGA-Based Accelerator for Robotic Localization Systems.
Proceedings of the IEEE Custom Integrated Circuits Conference, 2022
Proceedings of the Applied Reconfigurable Computing. Architectures, Tools, and Applications, 2022
2021
IEEE Trans. Circuits Syst. II Express Briefs, 2021
Proceedings of the IEEE/ACM International Symposium on Nanoscale Architectures, 2021
Archytas: A Framework for Synthesizing and Dynamically Optimizing Accelerators for Robotic Localization.
Proceedings of the MICRO '21: 54th Annual IEEE/ACM International Symposium on Microarchitecture, 2021
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
Proceedings of the IEEE International Symposium on Circuits and Systems, 2021
Eudoxus: Characterizing and Accelerating Localization in Autonomous Machines Industry Track Paper.
Proceedings of the IEEE International Symposium on High-Performance Computer Architecture, 2021
Proceedings of the 31st International Conference on Field-Programmable Logic and Applications, 2021
2020
$\pi$π-BA: Bundle Adjustment Hardware Accelerator Based on Distribution of 3D-Point Observations.
IEEE Trans. Computers, 2020
CoRR, 2020
Peak Detection Based on FPGA Using Quasi-Newton Optimization Method for Femtosecond Laser Ranging.
IEEE Access, 2020
2019
PI-BA Bundle Adjustment Acceleration on Embedded FPGAs with Co-observation Optimization.
CoRR, 2019
IEEE Access, 2019
A Hardware Trojan Detection Method Based on Structural Features of Trojan and Host Circuits.
IEEE Access, 2019
Information Theory-Based Quantitative Evaluation Method for Countermeasures Against Fault Injection Attacks.
IEEE Access, 2019
π-BA: Bundle Adjustment Acceleration on Embedded FPGAs with Co-observation Optimization.
Proceedings of the 27th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2019
Density-based Clustering Method for Hardware Trojan Detection Based on Gate-level Structural Features.
Proceedings of the Asian Hardware Oriented Security and Trust Symposium, 2019
2018
IEEE Trans. Very Large Scale Integr. Syst., 2018
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2018
Signal word-level statistical properties-based activation approach for hardware Trojan detection in DSP circuits.
IET Comput. Digit. Tech., 2018
2017
Proceedings of the 8th International Symposium on Highly Efficient Accelerators and Reconfigurable Technologies, 2017
Proceedings of the 27th International Conference on Field Programmable Logic and Applications, 2017
Proceedings of the Applied Reconfigurable Computing - 13th International Symposium, 2017
2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
IEEE Trans. Very Large Scale Integr. Syst., 2016
Proceedings of the 2016 International Conference on Field-Programmable Technology, 2016
Proceedings of the 24th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2016
2015
IEEE Trans. Very Large Scale Integr. Syst., 2015
ACM Trans. Reconfigurable Technol. Syst., 2015
Pipelined NoC router architecture design with buffer configuration exploration on FPGA.
Proceedings of the 25th International Conference on Field Programmable Logic and Applications, 2015
Proceedings of the 14th International Conference on Computer-Aided Design and Computer Graphics, 2015
2014
Comments on "Algorithmic Aspects of Hardware/Software Partitioning: 1D Search Algorithms".
IEEE Trans. Computers, 2014
Hardware Trojan detection acceleration based on word-level statistical properties management.
Proceedings of the 2014 International Conference on Field-Programmable Technology, 2014
2013
Proceedings of the 2013 ACM/SIGDA International Symposium on Field Programmable Gate Arrays, 2013
Proceedings of the 21st IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2013
2012
J. Signal Process. Syst., 2012
IEEE Trans. Computers, 2012
Proceedings of the 2012 International Conference on Field-Programmable Technology, 2012
Proceedings of the 22nd International Conference on Field Programmable Logic and Applications (FPL), 2012
Proceedings of the Reconfigurable Computing: Architectures, Tools and Applications, 2012
2011
Compiling C-like Languages to FPGA Hardware: Some Novel Approaches Targeting Data Memory Organization.
Comput. J., 2011
Proceedings of the 2011 International Conference on Embedded Computer Systems: Architectures, 2011
Proceedings of the 2011 International Conference on Field-Programmable Technology, 2011
2010
Proceedings of the International Conference on Field-Programmable Technology, 2010
Proceedings of the 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines, 2010
Proceedings of the 13th Euromicro Conference on Digital System Design, 2010
Proceedings of the Design, Automation and Test in Europe, 2010
2009
Combining Data Reuse With Data-Level Parallelization for FPGA-Targeted Hardware Compilation: A Geometric Programming Framework.
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst., 2009
Data-reuse exploration under an on-chip memory constraint for low-power FPGA-based systems.
IET Comput. Digit. Tech., 2009
Proceedings of the Annual IEEE International SoC Conference, SoCC 2009, 2009
Proceedings of the 19th International Conference on Field Programmable Logic and Applications, 2009
2008
Combining data reuse exploitationwith data-level parallelization for FPGA targeted hardware compilation: A geometric programming framework.
Proceedings of the FPL 2008, 2008
Compiling C-like Languages to FPGA Hardware: Some Novel Approaches Targeting Data Memory Organisation.
Proceedings of the Visions of Computer Science, 2008
2007
Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines, 2007
2006
Data Reuse Exploration for FPGA Based Platforms Applied to the Full Search Motion Estimation Algorithm.
Proceedings of the 2006 International Conference on Field Programmable Logic and Applications (FPL), 2006